I-Intel® Quartus® Prime Standard Edition
Inguqulo engu-22.1std Amanothi Okukhishwa Kwesofthiwe Nokusekelwa Kwedivayisi
Ibuyekezelwe i-Intel® Quartus® Prime Design Suite: 22.1std.1
Umhlahlandlela Womsebenzisi
I-Intel® Quartus® Prime Standard Edition Version 22.1std Amanothi Okukhishwa Kwesofthiwe Nokusekelwa Kwedivayisi
Lo mbhalo unikeza ulwazi oluphuphuthekayo mayelana ne-Intel® Quartus® Prime Standard Edition Version 22.1std kanye no-22.1std.1.
Ukuze uthole ulwazi olwengeziwe mayelana nalokhu kukhishwa kwesofthiwe, bheka i-Intel Quartus Prime Standard Edition README file endaweni elandelayo: /quartus/readme.txt
Ukuze uthole ulwazi ngosekelo lwesistimu yokusebenza, bheka okulandelayo web ikhasi: Intel FPGA Operating System Support.
Ulwazi Oluhlobene
- Isoftware ye-Intel Quartus Prime Pro Edition kanye namanothi okukhishwa kokusekelwa kwedivayisi
- I-Intel Quartus Prime Standard Edition Design Software ye-Linux
- I-Intel Quartus Prime Standard Edition Design Software yeWindows
- I-Intel Quartus Prime Lite Edition Design Software ye-Linux
- I-Intel Quartus Prime Lite Edition Design Software yeWindows
- Ukufakwa kweSoftware ye-Intel FPGA nokunikezwa kwelayisensi
1.1. Izici Ezintsha Nezithuthukisi
I-Intel Quartus Prime Standard Edition Software Version 22.1std kanye nenguqulo 22.1std.1 ihlanganisa izibuyekezo zokusebenza nokuvikeleka. Gcina isofthiwe yakho isesikhathini futhi ulandele izincomo zobuchwepheshe esiza ukuthuthukisa ukuphepha kokufakwa kwakho kwe-Intel Quartus Prime.
I-Intel Quartus Prime Standard Edition Software Version 22.1std ihlanganisa izici ezintsha nezithuthukisi ezilandelayo:
- Usekelo olungeziwe lwephrosesa ye-Nios® V/m.
- Kumadivayisi e-Intel MAX® 10, kungezwe usekelo lwe-1.8V LVDS.
Ukulungiswa Kweziphazamisi
I-Intel Quartus Prime Standard Edition Software Version 22.1std kanye nenguqulo 22.1std.1 nazo zihlanganisa ukulungiswa kweziphazamisi. Review Izinkinga Zesofthiwe Zixazululiwe ekhasini 13 kanye Namapheshana Esofthiwe Afakwe kulokhu Kukhululwa ekhasini le-13 ukuze ubone ukuthi ingabe le nguqulo iqukethe ukulungiswa noma ixazulula noma yiziphi izicelo zakho zesevisi yamakhasimende (I-Intel Premier Support).
1.2. Izinguquko Ekuziphatheni Kwesofthiwe
Lesi sigaba sibhala izimo lapho ukuziphatha nezilungiselelo ezizenzakalelayo zesofthiwe ye-Intel Quartus Prime Standard Edition kushintshwe kusukela ekukhishweni kwangaphambilini kwesofthiwe ye-Intel Quartus Prime Standard Edition.
Bheka Izilungiselelo ezizenzakalelayo ze-Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, ukuze uthole uhlu lwazo zonke izilungiselelo zezabelo ezizenzakalelayo zenguqulo yakamuva yesofthiwe ye-Intel Quartus Prime.
1.2.1. Izici Nemisebenzi Ehoxisiwe
Imisebenzi nezici ezibalwe kulesi sigaba zihoxisiwe kodwa azikhishiwe ku-Intel Quartus Prime Standard Edition Version 22.1std.1 noma ngaphambi kwalokho.
Thutha amathuluzi akho nezinqubo ukuze usebenzise okumiselela noma ezinye izici nemisebenzi ngaphambi kokuthi izici ezihoxisiwe nemisebenzi zisuswe.
Izici Nemisebenzi Yehlisiwe njenge-Intel Quartus Prime Standard Uhlelo lwenguqulo 22.1std.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi eyehlisiwe ku-Intel Quartus Prime Standard Edition Version 22.1.1.
Izici Nemisebenzi Yehlisiwe njenge-Intel Quartus Prime Standard Uhlelo lwenguqulo 22.1std
Azikho izici ze-Intel Quartus Prime noma imisebenzi eyehlisiwe ku-Intel Quartus Prime Standard Edition Version 22.1.
Izici Nemisebenzi Yehlisiwe njengenguqulo ye-Intel Quartus Prime Standard Edition 21.1.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi eyehlisiwe ku-Intel Quartus Prime Standard Edition Version 21.1.1.
Izici Nemisebenzi Yehlisiwe njenge-Intel Quartus Prime Standard Uhlelo lwenguqulo 21.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi eyehlisiwe ku-Intel Quartus Prime Standard Edition Version 21.1.
Izici Nemisebenzi Yehlisiwe njenge-Intel Quartus Prime Standard Uhlelo lwenguqulo 20.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi eyehlisiwe ku-Intel Quartus Prime Standard Edition Version 20.1.
1.2.2. Izici Ezikhishiwe kanye Nemisebenzi
Imisebenzi nezici ezibalwe kulesi sigaba zikhishiwe ku-Intel Quartus Prime Standard Edition Version 22.1std.1 noma ngaphambi kwalokho.
Izici Nemisebenzi Isusiwe ku-Intel Quartus Prime Standard Edition Inguqulo 22.1std.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi esusiwe ku-Intel Quartus Prime Standard Edition Version 22.1.1.
Izici Nemisebenzi Isusiwe ku-Intel Quartus Prime Standard Edition Inguqulo 22.1st
Azikho izici ze-Intel Quartus Prime noma imisebenzi esusiwe ku-Intel Quartus Prime Standard Edition Version 22.1.
Izici Nemisebenzi Isusiwe ku-Intel Quartus Prime Standard Edition Inguqulo 21.1.1
Azikho izici ze-Intel Quartus Prime noma imisebenzi esusiwe ku-Intel Quartus Prime Standard Edition Version 21.1.1.
Izici Nemisebenzi Isusiwe ku-Intel Quartus Prime Standard Edition Inguqulo 21.1
- Kukhishwe i-ModelSim*-Intel FPGA Edition kanye ne-ModelSim-Intel FPGA Starter Edition
Le softhiwe yokulingisa ithathelwe indawo yi-Questa*-Intel FPGA Edition kanye ne-Questa-Intel FPGA Starter Edition ngokulandelanayo. - Kususwe usekelo lwesofthiwe yokulingisa ye-32-bit.
Lolu shintsho lususa usekelo lwamathuluzi wokulingisa alandelayo:
— I-Aldec* I-Active-HDL* (32-bit)
Sebenzisa inguqulo engu-64-bit ye-Aldec Active-HDL noma sebenzisa i-Aldec Riviera-PRO* esikhundleni salokho.
— I-Mentor Graphics* ImodeliSim PE
Sebenzisa i-Siemens* EDA ModelSim SE noma i-Siemens EDA Questa Advanced Simulator esikhundleni salokho. - Kususwe ukwesekwa kwesitaki se-NicheStack TCP/IP.
- Kususwe usekelo lwe-Cadence* Incisive* Enterprise Simulator (IES).
Izici Nemisebenzi Isusiwe ku-Intel Quartus Prime Standard Edition Inguqulo 20.1
Ukusekelwa kwesofthiwe elandelayo kususiwe ku-Intel Quartus Prime Standard Edition Version 20.1 nakamuva:
- I-DSP Builder ye-Intel FPGAs
- I-Intel FPGA SDK ye-OpenCL™ (*)
- I-Intel FPGA RTE ye-OpenCL
- I-Intel High-Level Synthesis (HLS) Compiler
(*) I-OpenCL kanye nelogo ye-OpenCL yizimpawu zokuthengisa ze-Apple Inc. ezisetshenziswa ngemvume ye-Khronos Group™
1.3. Ukusekelwa Kwesistimu Esebenzayo
Ulwazi mayelana nokusekelwa kohlelo lokusebenza lwe-Intel Quartus Prime Design Suite luyatholakala ekhasini Lokusekela Uhlelo Lokusebenza le-Intel FPGA. webindawo.
Izinguquko Zokusekelwa Kwesistimu Esebenzayo ku-Intel Quartus Prime Standard Edition Inguqulo 22.1std.1
Azikho izinguquko zokusekela isistimu yokusebenza ku-Intel Quartus Prime Standard Edition Version 22.1std.1.
Izinguquko Zokusekelwa Kwesistimu Esebenzayo ku-Intel Quartus Prime Standard Edition Inguqulo 22.1st
Ukusekelwa kwamasistimu wokusebenza alandelayo kwehlisiwe njengenguqulo ye-Intel Quartus Prime Standard Edition 22.1:
- I-CentOS* Linux 8.2
- I-Windows Server* 2012
- I-Windows Server 2016
- Windows* 10 Inguqulo 1607
Thutha eyakho Windows 10 ukufakwa ku-Windows 10 Inguqulo engu-1809 noma eyakamuva.
Ukusekela kwalawa masistimu okusebenza kungase kususwe ekukhishweni okuzayo.
I-Intel Quartus Prime Standard Edition Version 22.1 isuse ukusekelwa kwalezi zinhlelo zokusebenza ezilandelayo:
- I-CentOS Linux 7.5
- I-CentOS Linux 8.0(1)
- I-CentOS Linux 8.1(1)
- I-Red Hat* Enterprise Linux* 7
- I-Red Hat Enterprise Linux 8.0(2)
- I-Red Hat Enterprise Linux 8.1(2)
Izinguquko Zokusekelwa Kwesistimu Esebenzayo ku-Intel Quartus Prime Standard Edition Inguqulo 21.1.1
Azikho izinguquko zosekelo lwesistimu yokusebenza ku-Intel Quartus Prime Standard Edition Version 21.1.1.
Izinguquko Zokusekelwa Kwesistimu Esebenzayo ku-Intel Quartus Prime Standard Edition Inguqulo 21.1
I-Intel Quartus Prime Standard Edition Version 21.1 yengeze ukusekelwa kwalezi zinhlelo zokusebenza ezilandelayo:
- I-CentOS Linux 8.2 isalokhu isekelwa yi-Intel Quartus Prime Standard Edition Version 22.1
- I-Red Hat* Enterprise Linux 8.2 isasekelwa i-Intel Quartus Prime Standard Edition Version 22.1
- I-CentOS 8.0
- I-Red Hat Enterprise Linux 8
- I-SUSE* Linux Enterprise Server 15
- Ubuntu* Linux 20 LTS
- I-Windows Server 2019
Ukusekelwa kwamasistimu wokusebenza alandelayo kuhoxisiwe kusukela ku-Intel Quartus Prime Standard Edition Version 21.1. Ukusekela kwalawa masistimu okusebenza kungase kususwe ekukhishweni okuzayo:
- I-CentOS 7.5
- I-Red Hat Enterprise Linux 7
I-Intel Quartus Prime Standard Edition Version 21.1 isuse ukusekelwa kwalezi zinhlelo zokusebenza ezilandelayo:
- I-Red Hat Enterprise Linux 6
- Ubuntu Linux 14 LTS
Ulwazi Oluhlobene
Usekelo Lwesistimu Esebenzayo
1.4. Isikhala Sediski Nezincomo Zenkumbulo
Ukufakwa okugcwele kwesofthiwe ye-Intel Quartus Prime Standard Edition kudinga kufika ku-40 GB wesikhala sediski esitholakalayo.
Lungiselela isistimu yakho ukuze inikeze inkumbulo eyengeziwe ebonakalayo elingana ne-RAM enconywayo ebonakalayo edingekayo ukuze kucutshungulwe umklamo wakho. Le nkumbulo eyengeziwe ebonakalayo iphinda kabili inkumbulo esebenzayo ephelele etholakalayo ukuze kucutshungulwe umklamo wakho.
Qaphela:
Imemori ebonakalayo ephakeme kakhulu ingase idlule lezi zincomo. Lezi zincomo zisekelwe enanini lenkumbulo engokwenyama edingekayo ukuze kuzuzwe isikhathi sokusebenza phakathi kuka-10% walokho okutholwe kuhadiwe ngenani elingapheli le-RAM.
Ithebula 1.
Izidingo Zenkumbulo Zokucubungula Imiklamo ye-Arria®
Lezi zidingo ziyafana kukho kokubili ukufakwa kwe-Windows ne-Linux.
Umndeni | Idivayisi | I-RAM Ebonakalayo Enconyiwe |
I-Intel Arria® 10 | 10AT115, 10AX115 | 48 GB |
10AT090, 10AX090 | 44 GB | |
10AS066, 10AX066 | 32 GB | |
10AS057, 10AX057 | 30 GB | |
10ASO48, 10AX048 | 28 GB | |
10AX032, 10AS032 | 24 GB | |
10AX027, 10AS027 | 22 GB | |
10AX022, 10AS022 | 20 GB | |
10AX016, 10AS016 | 18 GB | |
U-Arria V | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 GB |
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 GB | |
5AGXA7, 5AGTC7 | 10 GB | |
5AGTC3, 5AGXA3, 5AGXA5 | 8 GB | |
5AGXA1 | 6 GB | |
I-Arria V GZ | 5AGZE7 | 16 GB |
5AGZE3, 5AGZE5 | 12 GB | |
5AGZE1 | 8 GB | |
I-Arria II GX | EP2AGX260 | 6 GB |
EP2AGX95, EP2AGX125, EP2AGX190 | 4 GB | |
EP2AGX65 | 2 GB | |
EP2AGX45 | 1.5 GB | |
I-Arria II GZ | EP2AGZ350 | 8 GB |
EP2AGZ300 | 6 GB | |
EP2AGZ225 | 4 GB |
Ithebula 2.
Izidingo Zenkumbulo Zokucubungula Imiklamo ye-Cyclone®
Lezi zidingo ziyafana kukho kokubili ukufakwa kwe-Windows ne-Linux.
Umndeni | Idivayisi | I-RAM Ebonakalayo Enconyiwe |
I-Intel Cyclone® 10 LP | 10CL120 | 1.5 GB |
10CL080, 10CL055 | 1 GB | |
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
I-Cyclone V | 5CEA9, 5CGTD9, 5CGXC9 | 8 GB |
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 | 6 GB | |
I-Cyclone IV GX | EP4CGX110, EP4CGX150 | 2 GB |
EP4CGX50, EP4CGX75 | 1.5 GB | |
EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
I-Cyclone IV E | EP4CE115 | 1.5 GB |
EP4CE55, EP4CE75 | 1 GB | |
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
Ithebula 3.
Izidingo Zenkumbulo Zokucubungula Imiklamo engu-MAX
Lezi zidingo ziyafana kukho kokubili ukufakwa kwe-Windows ne-Linux.
Umndeni | Idivayisi | I-RAM Ebonakalayo Enconyiwe |
I-Intel MAX 10 | 10M50 | 2 GB |
10M16 | 2 GB | |
10M25 | 2 GB | |
10M40 | 2 GB | |
10M04, 10M08 | 1 GB | |
10M02 | 512 MB | |
MAX V | Konke | 512 MB |
MAX II | Konke | 512 MB |
Ithebula 4.
Izidingo Zenkumbulo Zokucubungula I-Stratix®Designs
Lezi zidingo ziyafana kukho kokubili ukufakwa kwe-Windows ne-Linux.
Umndeni | Idivayisi | I-RAM Ebonakalayo Enconyiwe |
I-Stratix® V | 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB | 28 GB |
5SGXA9, 5SEE9 | 24 GB | |
5SGTC7, 5SGXA7, 5SGSD8 | 20 GB | |
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 GB | |
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 GB | |
5SGSD3 | 8 GB | |
I-Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 GB |
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 GB | |
EP4SGX290 | 6 GB | |
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 GB | |
EP4SGX70 | 2 GB |
1.5. Ukusekela Kwedivayisi kanye Nesimo SokuPhina
Wonke amadivayisi okukhiqiza njengamanje anokuhlanganiswa okugcwele, ukulingisa, ukuhlaziya isikhathi, nokusekelwa kohlelo.
1.5.1. Izinguquko Ekusekelweni Kwedivayisi
1.6. Imodeli Yesikhathi, Imodeli Yamandla, Nesimo Sedivayisi
Ithebula 5.
Imodeli Yesikhathi, Imodeli Yamandla, Nesimo Sedivayisi Yamadivayisi E-Intel Arria 10
Umndeni Wedivayisi | Idivayisi | Isimo Semodeli Yesikhathi | Isimo Semodeli Yamandla | Isimo Sedivayisi |
I-Intel Arria 10 | 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 | Okokugcina - 16.1 (3)(4) | Okokugcina - 17.0 | Okokugcina - 17.0 |
10AX048, 10AS048 | Okokugcina - 16.0.2 (4) | Okokugcina - 17.0 | Okokugcina - 17.0 | |
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 | Okokugcina - 16.0.1 (4) | Okokugcina - 16.0.1 | Okokugcina - 16.0.1 | |
10AX115, 10AT115 | Okokugcina - 16.0 (4) | Okokugcina - 16.0 | Okokugcina - 16.0 |
(3) Amadivayisi anebanga lesivinini -1 aqedwa nge-Intel Quartus Prime software version 17.0
(4) Wonke amathuluzi ebanga lezempi aqedwa nge-Intel Quartus Prime software version 18.0.1.
Ithebula 6.
Imodeli Yesikhathi, Imodeli Yamandla, Nesimo Sedivayisi Yamadivayisi E-Intel Cyclone 10
Umndeni Wedivayisi | Idivayisi | Isimo Semodeli Yesikhathi | Isimo Semodeli Yamandla | Isimo Sedivayisi |
I-Intel Cyclone 10 LP | 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 | Okokugcina - 17.0 | Okokugcina - 17.1 | Okokugcina - 17.1 |
Ithebula 7.
Imodeli Yesikhathi, Imodeli Yamandla, Nesimo Sedivayisi Yamadivayisi E-Intel MAX 10
Umndeni Wedivayisi | Idivayisi | Isimo Semodeli Yesikhathi | Isimo Semodeli Yamandla | Isimo Sedivayisi |
I-Intel MAX 10 | 10M02, 10M04, 10M08 | Okokugcina - 15.1 (5) | Okokugcina - 15.1 | Okokugcina - 15.1 |
10M16, 10M25, 10M40, 10M50 | Okokugcina - 15.1.2 | Okokugcina - 15.1 | Okokugcina - 15.1 |
Inguqulo yamanje yesoftware ye-Intel Quartus Prime ihlanganisa nezinhlobo zokugcina zesikhathi namandla e-Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V. I-SoC, MAX II, MAX II Z, MAX V, Stratix IV, kanye nemindeni yedivayisi ye-Stratix V. Amamodeli wesikhathi ale mindeni yedivayisi aba okokugcina kuzinguqulo zesofthiwe ye-Intel Quartus Prime engu-11.1 noma yangaphambilini.
1.7. Amamodeli e-IBIS
Ithebula 8. Isimo Semodeli ye-IBIS se-Intel Quartus Prime Standard Edition Software Khipha Inguqulo engu-22.1std
Kusukela kunguqulo yesofthiwe ye-Intel Quartus Prime Standard Edition engu-16.0, imindeni yamadivayisi inesimo semodeli ye-IBIS okunge-Advance, Preliminary, noma Final.
Umndeni Wedivayisi | Isimo Semodeli ye-IBIS |
I-Intel Arria 10 | Okokugcina - 16.1.2 |
U-Arria V | Ihlobene nokusebenza kwedivayisi ye-PHY - 14.0 |
I-Arria II GX | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
I-Arria II GZ | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
I-Intel Cyclone 10 LP | Okokugcina - 17.0 |
I-Cyclone V | Ihlobene nokusebenza kwedivayisi ye-PHY - 14.0 |
I-Cyclone IV E | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
I-Cyclone IV GX | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
I-Intel MAX 10 | Okokugcina - 16.0 |
MAX V | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
I-Stratex V | Ihambisana nokusebenza kwedivayisi ye-PHY - 13.0 SP1 |
I-Stratix IV | Ihlobene nokusebenza kwedivayisi ye-PHY - 11.1 |
Amamodeli e-IBIS abuyekeziwe ayatholakala ku-inthanethi kumamodeli we-IBIS we-Intel FPGA Devices web ikhasi. Leli khasi libuyekezwa njengoba amamodeli we-IBIS wamadivayisi etholakala noma abuyekezwa.
(5) Izimo zemodeli yesikhathi zezingxenye zebanga lesivinini ezingu-MAX 10 A6 zihlala njengezokuqala.
1.8. EDA Interface Ulwazi
Ithebula 9.
Amathuluzi E-Synthesis Asekela i-Intel Quartus Prime Standard Edition Ukukhishwa kwe-Software Version 22.1std
Amathuluzi Synthesis | Inguqulo |
I-Siemens EDA Precision* | Izinguqulo ze-Siemens EDA Precision ezisekela isofthiwe ye-Intel Quartus Prime ngokuvamile zikhishwa ngemva kokukhishwa kwesofthiwe ye-Intel Quartus Prime. Xhumana ne-Siemens EDA ukuze uthole izinguqulo ze-Siemens EDA Precision ezisekela i-Intel Quartus Prime Standard Edition Ukukhishwa kweSoftware Version 22.1std. |
I-Synopsy* Synplify*, Synplify Pro*, kanye ne-Synplify Premier | Izinguqulo ze-Synopsys Synplify, Synplify Pro, kanye ne-Synplify Premier ezisekela isofthiwe ye-Intel Quartus Prime ngokuvamile zikhishwa ngemva kokukhishwa kwesofthiwe ye-Intel Quartus Prime. Xhumana nama-Synopsys ukuze uthole izinguqulo ze-Synopsys Synplify, Synplify Pro, kanye ne-Synplify Premier esekela i-Intel Quartus Prime Standard Standard Edition Version Release Version 22.1std. |
Ithebula 10.
Amathuluzi Okulingisa Asekela i-Intel Quartus Prime Standard Edition Ukukhishwa Kwesoftware Inguqulo 22.1std
Amathuluzi okulingisa alandelayo ahlinzeka nge-RTL kanye nokulingiswa kwezinga lesango okusebenzayo. Amathuluzi okulingisa angu-64-bit kuphela asekelwayo.
Amathuluzi Okulingisa | Inguqulo |
I-Aldec Active-HDL | 13.0 (IWindows kuphela) |
I-Aldec Riviera-PRO | 2019.1 |
I-Cadence Xcelium* I-Parallel Logic Simulation | 21.09.003 (Linux* kuphela) |
I-Questa-Intel FPGA Edition | 2021.2 |
Siemens EDA ModelSim SE | 2020.4 |
Siemens EDA Questa Advanced Simulator | 2020.4 |
I-Synopsy VCS* ne-VCS MX | P-2019.06-SP2-5 (Linux kuphela) |
I-Questa-Intel FPGA Edition idinga inguqulo ye-FlexLM yelayisensi ye-daemon engu-11.16.4.0 (noma eyakamuva). Ungathola i-daemon enelayisensi ku-FlexLM License Daemons ye-Intel FPGA Software web ikhasi.
Ungathola i-Intel FPGA Edition yamathuluzi okulingisa esikhungweni Sokulanda sama-FPGA.
Ukusekelwa Kwesistimu Esebenzayo ye-Questa-Intel FPGA Edition 2021.2
- I-Red Hat Enterprise Linux 7 (64-bit)
- I-Red Hat Enterprise Linux 8 (64-bit)
- I-SUSE Linux Enterprise Server 12 (64-bit)
- Windows 10 (64-bit)
Ulwazi Oluhlobene
- I-Intel Quartus Prime Standard Edition Design Software ye-Linux
- I-Intel Quartus Prime Standard Edition Design Software yeWindows
- I-Intel Quartus Prime Lite Edition Design Software ye-Linux
- I-Intel Quartus Prime Lite Edition Design Software yeWindows
1.9. Ukuqinisekiswa kwe-Antivirus
Isoftware ye-Intel Quartus Prime iqinisekiswe ukuthi ayinalo igciwane ngale software elandelayo:
I-Antivirus Verification Software ye-Intel Quartus Prime Standard Edition Inguqulo 22.1std.1
I-McAfee VirusScan Command Line ye-Linux64 Inguqulo: 7.0.0.477
Inguqulo ye-AV Engine: 6300.9389 ye-Linux64.
Inguqulo yesethi yedethi: 10629 idalwe ngomhla ka-Feb 22 2023
I-Antivirus Verification Software ye-Intel Quartus Prime Standard Edition Inguqulo 22.1st
I-McAfee VirusScan Command Line ye-Linux64 Inguqulo: 7.0.0.477
Inguqulo ye-AV Engine: 6300.9389 ye-Linux64.
Inguqulo yesethi yedatha: 10505 idalwe ngo-Oct 19 2022
1.10. Izinkinga Zesofthiwe Zixazululiwe
Azikho izicelo zamasevisi amakhasimende eziye zaxazululwa ngenye indlela ku-Intel Quartus Prime Standard Edition Version 22.1std.1.
Izicelo ezilandelayo zesevisi yamakhasimende zalungiswa noma zaxazululwa ngenye indlela ku-Intel Quartus Prime Standard Edition Version 22.1std:
Ithebula 11.
Izinkinga ezixazululiwe ku-Intel Quartus Prime Standard Edition Version 22.1std
Izinombolo Zecala Lokusekela UNdunankulu we-Intel | |||||||
00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
00698210 | 00698732 | 05129080 | 05465225 | 11396299 |
1.11. Amapheshi Esofthiwe Afakwe kulokhu Kukhishwa
I-Intel Quartus Prime Standard Edition Version 22.1std.1 iqukethe iziqephu ezilandelayo ezinguqulweni zangaphambilini zesofthiwe ye-Intel Quartus Prime Standard Edition:
Ithebula 12.
I-Software Patches ifakwe ku-Intel Quartus Prime Standard Edition Version 22.1st.1
Isoftware Version | Isiqephu | Inombolo Yesicelo Sesevisi Yekhasimende |
Inguqulo ye-Intel Quartus Prime 22.1 | 0.01st | – |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.14st | 00741067 |
I-Intel Quartus Prime Standard Edition Version 22.1std iqukethe amapheshana alandelayo ezinguqulo zangaphambilini zesoftware ye-Intel Quartus Prime Standard Edition:
Ithebula 13. Ama-Software Patches afakwe ku-Intel Quartus Prime Standard Edition Version 22.1std
Isoftware Version | Isiqephu | Inombolo Yesicelo Sesevisi Yekhasimende |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.10st | – |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.08st | 00693884 |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.07st | 00501636 |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.06st | 00689611 |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.04stdp | – |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.03st | – |
Inguqulo ye-Intel Quartus Prime 21.1 | 0.02st | – |
Inguqulo ye-Intel Quartus Prime 20.1.1 | 1.09st | 00702107 |
Inguqulo ye-Intel Quartus Prime 20.1 | 0.14st | 00702107 |
Inguqulo ye-Intel Quartus Prime 18.1.1 | 1.13st | – |
Inguqulo ye-Intel Quartus Prime 18.1.1 | 1.12st | – |
Inguqulo ye-Intel Quartus Prime 18.1.1 | 1.09st | – |
Inguqulo ye-Intel Quartus Prime 18.1 | 0.23st | 00698210 |
Inguqulo ye-Intel Quartus Prime 18.1 | 0.21st | 00669646 |
Inguqulo ye-Intel Quartus Prime 18.1 | 0.20st | 00689611 |
1.12. Izinkinga zeSoftware Ezaziwa Kamuva ze-Intel Quartus Prime
Ulwazi mayelana nezindaba ezaziwayo ezithinta i-Intel Quartus Prime Standard Edition Version 22.1std luyatholakala ku-Intel FPGA Knowledge Base.
Ukuze uthole ulwazi lwakamuva mayelana nezinkinga ezithinta i-Intel Quartus Prime Standard Edition Version 22.1std, kabushaview izindatshana ze-Intel FPGA Knowledge Base ezisebenza ku-Intel Quartus Prime Standard Edition Version 22.1std.
Ithebula 14.
Izinkinga Ezibalulekile Ezaziwayo Ezithinta I-Intel Quartus Prime Standard Edition Version 22.1std
Incazelo | Indlela yokusebenza |
Kuzinhlelo ze-Microsoft* Windows, i-SDI II Intel FPGA IP design exampi-le generation iyahluleka ngomlayezo wephutha olandelayo: Iphutha: Yehlulekile ukwenza isibample design example_design ku:: \sdi_ii_0_isbample_design |
Ukuze uthole imininingwane kanye nokutholakala kokulungiswa, bheka Kungani i-SDI II Intel FPGA IP design exampIngabe isizukulwane siyahluleka uma usebenzisa i-Intel Quartus Prime Software yeWindows? ku-Intel FPGA Knowledge Base. |
Ezinhlelweni ze-Microsoft Windows, iphutha elilandelayo lenzeka lapho kukhiqizwa i-Intel Arria 10 EMIF ExampI-le Design yokulingisa: Iphutha: emif_0: Kwenzeke iphutha lapho kukhiqizwa isifaniso se-example design. Bona make_sim_design_errors.log ukuze uthole imininingwane. Iphutha: Yehlulekile ukwenza isibample design kuya:ample design directory> Khiqiza i-Example Design: igcwaliswe ngamaphutha |
Ungakwazi ukuziba ngokuphephile le milayezo eyisixwayiso. Ukulingisa file amasethi e-Siemens EDA Questa kanye nesofthiwe yokulingisa ye-Aldec Riviera-PRO akhiqizwa futhi aqukethe umklamo ofanele. files ukusebenzisa isifaniso ngempumelelo. Ukuze uthole imininingwane eyengeziwe kanye nokutholakala kokulungiswa, bheka Kungani i-Intel Arria 10 EMIF Example I-Design Generation Fail uma usebenzisa i-Intel Quartus Prime Standard Edition Software Version 22.1 yeWindows? ku-Intel FPGA Knowledge Base. |
Uma usebenzisa imodi ye-Intel Arria 10 EMIF IP Skip Calibration, ukulingiswa kwe-Intel Arria 10 EMIF IP ngesoftware yokulingisa ye-Siemens EDA Questa (Siemens EDA Questa I-Advanced Simulator noma i-Questa-Intel FPGA Edition) ingalenga. |
Sebenzisa i-Abstract PHY ukuze uthole inketho yokulingisa esheshayo ukuze uvimbele ukulengiswa. Ukuze uthole imininingwane eyengeziwe kanye nokutholakala kokulungiswa, bheka Kungani Ukulingiswa kwe-Intel Arria 10 EMIF IP ku-Mentor simulators kulenga lapho kusetshenziswa i-Intel Quartus Prime Standard Edition Software version 22.1 ku-Intel FPGA Knowledge Base. |
Ungathola imininingwane yenkinga eyaziwayo ngezinguqulo zangaphambilini zesoftware ye-Quartus Prime ku-Intel FPGA Knowledge Base web ikhasi.
Ulwazi mayelana nezinkinga zesofthiwe ezaziwayo ezithinta izinguqulo zangaphambilini zesofthiwe ye-Quartus II luyatholakala ku-Intel Quartus Prime kanye ne-Quartus II Software Support. web ikhasi.
Ulwazi olumayelana nezinkinga ezithinta i-Intel FPGA IP Library luyatholakala kumanothi okukhululwa e-IP ngayinye. Ungathola amanothi okukhishwa kwe-IP ku-Intel FPGA Documentation Index web ikhasi.
Ulwazi Oluhlobene
- Intel FPGA Ulwazi Base
- I-Intel Quartus Prime kanye ne-Quartus II Software Support
- I-Intel FPGAs namanothi okukhishwa kwamadivayisi ahlelekayo
1.13. Intel Quartus Prime Standard Edition Software kanye nedivayisi Ingobo yomlando yokukhishwa kwamanothi
Ukuze uthole izinguqulo zakamuva nezidlule zala manothi okukhishwa, bheka i-Intel Quartus Prime Standard Edition Software kanye namanothi okukhishwa kokusekelwa kwedivayisi. Uma inguqulo yesofthiwe ingekho ohlwini, amanothi okukhishwa enguqulo yangaphambilini yesofthiwe ayasebenza.
1.14. Ukukhishwa kweSoftware ye-Intel Quartus Prime Standard Edition Inguqulo 22.1std Umlando Wokubuyekezwa Kombhalo
Inguqulo Yedokhumenti | Inguqulo ye-Intel Quartus Prime | Izinguquko |
2023.03.21 | 22.1st.1 | • Ibuyekezelwe Inguqulo 22.1std.1 • Inombolo yenguqulo elungisiwe yenguqulo 22.1std. |
2022.11.07 | 22.1st | • Kubuyekezwe Izinkinga Zesofthiwe Ezaziwa Kamuva. |
2022.10.31 | 22.1st | • Ukukhishwa kokuqala. |
I-Intel Quartus Prime Standard Edition: Inguqulo engu-22.1std Amanothi Okukhishwa Kokusekelwa Kwedivayisi
I-Online Version
Thumela Impendulo
Inombolo yepholisi: 683593
I-RN-01080-22.1std
Inguqulo: 2023.03.21
Amadokhumenti / Izinsiza
![]() |
Intel Quartus Prime Standard Edition [pdf] Umhlahlandlela Womsebenzisi I-Quartus Prime Standard Edition, i-Prime Standard Edition, i-Standard Edition |