Ilogo ye-IntelI-eCPRI Intel® FPGA IP Design
Example Umhlahlandlela Womsebenzisi
Ibuyekezelwe i-Intel®
I-Quartus®
I-Prime Design Suite: 23.1
Inguqulo ye-IP: 2.0.3

Quick Start Guide

I-Common Public Radio Interface (eCPRI) Intel® FPGA IP core ethuthukisiwe isebenzisa inguqulo ye-eCPRI ethi 2.0. I-eCPRI Intel FPGA IP inikeza ibhentshi lokuhlola lokulingisa kanye ne-ex yedizayini yehadiweample esekela ukuhlanganiswa nokuhlolwa kwehadiwe. Uma udala i-ex designample, umhleli wepharamitha udala ngokuzenzakalelayo i filekudingekile ukulingisa, ukuhlanganisa, nokuhlola i-ex designampku-hardware.
Idizayini yehadiwe ehlanganisiwe exampiyaqhubeka:

  • I-Intel Agilex™ 7 I-Series FPGA Development Kit
  • I-Intel Agilex 7 I-Series Transceiver-SoC Development Kit
  • I-Intel Agilex 7 F-Series Transceiver-SoC Development Kit
  • I-Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit ye-ex yedizayini ye-H-tileampLes
  • I-Intel Stratix 10 TX Transceiver Signal Integrity Development Kit ye-E-tile design exampLes
  • I-Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

I-Intel inikeza i-ex yokuhlanganisa kuphelaample phrojekthi ongayisebenzisa ukuze ulinganisele ngokushesha indawo eyinhloko ye-IP nesikhathi.
I-testbench kanye ne-design example isekela izilinganiso zedatha ye-25G ne-10G ye-Intel Stratix 10 H-tile noma i-E-tile ne-Intel Agilex 7 E-tile noma ukuhluka kwedivayisi ye-F-tile ye-eCPRI IP.

Qaphela: I-eCPRI IP design exampI-le with interworking function (IWF) itholakala kuphela ku-9.8 Gbps CPRI line bit rate ekukhishweni kwamanje.
Qaphela: I-eCPRI IP design exampI-le ayikusekeli ukumiswa kabusha okuguquguqukayo kwesilinganiso sedatha ye-10G kumiklamo ye-Intel Arria 10.

I-eCPRI Intel FPGA IP core design example isekela izici ezilandelayo:

  • I-TX yangaphakathi kuya kumodi ye-serial loopback ye-RX
  • Ijeneretha yethrafikhi nesihloli
  • Amakhono okuhlola iphakethe ayisisekelo
  • Ikhono lokusebenzisa Ikhonsoli Yesistimu ukuze uqalise umklamo futhi usethe kabusha umklamo ngenjongo yokuhlola kabusha

Inkampani ye-Intel Wonke Amalungelo Agodliwe. I-Intel, ilogo ye-Intel, nezinye izimpawu ze-Intel yizimpawu zokuthengisa ze-Intel Corporation noma izinkampani ezingaphansi kwayo. I-Intel iqinisekisa ukusebenza kwe-FPGA yayo kanye nemikhiqizo yesemiconductor ekucacisweni kwamanje ngokuvumelana newaranti evamile ye-Intel, kodwa igodla ilungelo lokwenza izinguquko kunoma imiphi imikhiqizo namasevisi nganoma yisiphi isikhathi ngaphandle kwesaziso. I-Intel ayithathi mthwalo noma isikweletu esivele ngenxa yesicelo noma ukusetshenziswa kwanoma yiluphi ulwazi, umkhiqizo, noma isevisi echazwe lapha ngaphandle kwalapho okuvunyelwene ngakho ngokubhaliwe yi-Intel. Amakhasimende e-Intel ayelulekwa ukuthi athole inguqulo yakamuva yokucaciswa kwedivayisi ngaphambi kokuthembela kunoma yiluphi ulwazi olushicilelwe nangaphambi kokufaka ama-oda emikhiqizo noma amasevisi. *Amanye amagama namabhrendi angafunwa njengempahla yabanye.

I-ISO 9001:2015 Ibhalisiwe

Umfanekiso 1. Izinyathelo Zokuthuthukisa Zomklamo ExampleI-eCPRI Intel FPGA IP Design - Umfanekiso 1

Ulwazi Oluhlobene

  • I-eCPRI Intel FPGA IP User Guide
  • I-eCPRI Intel FPGA IP Release Notes

1.1. Izingxenyekazi zekhompuyutha nezidingo zeSoftware
Ukuhlola i-example design, sebenzisa ihadiwe nesoftware elandelayo:

  • Isoftware ye-Intel Quartus® Prime Pro Edition engu-23.1
  • Ikhonsoli Yesistimu
  • Izilingisi ezisekelwayo:
    — Siemens* EDA QuestaSim*
    - Synopsy* VCS*
    - Ama-synopsy VCS MX
    - Aldec* Riviera-PRO*
    -Cadence* Xcelium*
  • Ikhithi Yokuthuthukisa:
    - Intel Agilex 7 I-Series FPGA Development Kit
    - Intel Agilex 7 I-Series Transceiver-SoC Development Kit
    - Intel Agilex 7 F-Series Transceiver-SoC Development Kit
    - I-Intel Stratix 10 GX Transceiver Signal Integrity Development Kit ye-H-tile yedizayini yokuhlukahluka kwedivayisiample
    - I-Intel Stratix 10 TX Transceiver Signal Integrity Development ye-E-tile device eguquguqukayo example
    - Intel Arria 10 GX Transceiver Signal Integrity Development Kit

Ulwazi Oluhlobene

  • Intel Agilex 7 I-Series FPGA Development Kit User Guide
  • Intel Agilex 7 I-Series Transceiver-SoC Development Kit Umhlahlandlela Womsebenzisi
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Arria 10 GX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi

1.2. Ikhiqiza Umklamo
Okudingekayo: Uma usuyitholile i-eCPRI web-core IP, gcina i web-core isifaki endaweni yangakini. Qalisa isifaki ngeWindows/Linux. Uma utshelwa, faka ifayela le webcore endaweni efanayo nefolda ye-Intel Quartus Prime.
I-eCPRI Intel FPGA IP manje isivela kukhathalogi ye-IP.
Uma ungakabi nayo iphrojekthi ye-Intel Quartus Prime Pro Edition ongahlanganisa kuyo umgogodla wakho we-eCPRI Intel FPGA IP, kufanele udale eyodwa.

  1. Kuhlelo lwe-Intel Quartus Prime Pro Edition, chofoza File ➤ Iseluleki Sephrojekthi Esisha sokudala iphrojekthi entsha ye-Intel Quartus Prime, noma chofoza File ➤ Vula Iphrojekthi ukuze uvule iphrojekthi ekhona ye-Intel Quartus Prime. Iwizadi ikutshela ukuthi ucacise idivayisi.
  2. Cacisa umndeni wedivayisi kanye nedivayisi ehlangabezana nezimfuneko zebanga lesivinini.
  3. Chofoza okuthi Qeda.
  4. Kukhathalogi ye-IP, thola bese uchofoza kabili i-eCPRI Intel FPGA IP. Iwindi elisha le-IP elihlukile liyavela.

Landela lezi zinyathelo ukuze ukhiqize i-eCPRI IP hardware design example kanye ne-testbench:

  1. Kukhathalogi ye-IP, thola bese uchofoza kabili i-eCPRI Intel FPGA IP. Iwindi elisha le-IP elihlukile liyavela.
  2. Chofoza okuthi KULUNGILE. Umhleli wepharamitha uyavela.
    Umfanekiso 2. Example-Design Tab ku-eCPRI Intel FPGA IP Parameter EditorI-eCPRI Intel FPGA IP Design - Umfanekiso 2
  3. Cacisa igama lezinga eliphezulu ngokuhlukahluka kwakho kwe-IP yangokwezifiso. Umhleli wepharamitha ugcina izilungiselelo zokuhlukahluka kwe-IP ku-a file okuthiwa .ip.
  4. Chofoza okuthi KULUNGILE. Umhleli wepharamitha uyavela.
  5. Kuthebhu ethi Okujwayelekile, cacisa amapharamitha wokuhluka kwakho okubalulekile kwe-IP.
    Qaphela: • Kufanele uvule ipharamitha yokusakaza kusihleli sepharamitha ye-eCPRI IP uma ukhiqiza i-ex yedizayiniample enepharamitha ye-Interworking Function (IWF) inikwe amandla,
    • Kumelwe usethe i-CPRI Line Bit Rate (Gbit/s) Kwabanye lapho udala i-ex yokuklamaample enepharamitha yokusekela ye-Interworking Function (IWF) inikwe amandla.
  6. Ku-Example Dizayini ithebhu, khetha inketho yokulingisa ukuze ukhiqize ibhentshi lokuhlola, khetha inketho yokuhlanganisa ukuze ukhiqize i-hardware example design, bese ukhetha inketho yokuhlanganisa nokulingisa ukuze ukhiqize kokubili ibhentshi lokuhlola kanye ne-ex ye-hardware designample.
  7. Okolimi lokulingiswa kwezinga eliphezulu file, khetha i-Verilog noma i-VHDL.
    Qaphela: Le nketho itholakala kuphela uma ukhetha inketho yokulingisa ye-ex yakhoampumklamo.
  8. OkweLimi lokuhlanganisa izinga eliphezulu file, khetha i-Verilog noma i-VHDL.
    Qaphela: Le nketho itholakala kuphela uma ukhetha inketho ye-Synthesis ye-ex yakhoampumklamo.
  9. Ngenombolo Yeziteshi, ungafaka inombolo yeziteshi (1 kuya ku-4) ezihloselwe umklamo wakho. Inani elizenzakalelayo ngu-1.
  10. Chofoza okuthi Khiqiza Isibample Design. Khetha ExampIwindi le-Design Directory liyavela.
  11. Uma ufuna ukushintsha i-design example directory noma igama elisuka kokuzenzakalelayo okubonisiwe (ecpri_0_testbench), phequlula endleleni entsha bese uthayipha i-ex entsha yokuklamaampigama lesikhombi.
  12. Chofoza okuthi KULUNGILE.

Ulwazi Oluhlobene
I-eCPRI Intel FPGA IP User Guide
1.3. Ukwakheka Kwemibhalo
I-eCPRI IP core design example file uhla lwemibhalo luqukethe okulandelayo okwenziwe files ye-design example.

Umfanekiso 3. Isakhiwo Sohlu Lwemibhalo Ekhiqiziwe Example DesignI-eCPRI Intel FPGA IP Design - Umfanekiso 3

Qaphela:

  1. Ikhona kuphela ku-Intel Arria 10 IP design example ukuhluka.
  2. Ikhona kuphela ku-Intel Stratix 10 (H-tile noma i-E-tile) yomklamo we-IP example ukuhluka.
  3. Ikhona kuphela ku-Intel Agilex E-tile IP design example ukuhluka.

Ithebula 1. eCPRI Intel FPGA IP Core Testbench File Izincazelo

File Amagama  Incazelo
I-Testbench Eyisihluthulelo Nokulingisa Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv I-testbench yezinga eliphezulu file. I-testbench iqinisekisa ukusonga kwe-DUT futhi iqhuba imisebenzi ye-Verilog HDL ukuze ikhiqize futhi yamukele amaphakethe.
<design_example_dir>/simulation/testbench/ecpri_ed.sv I-DUT wrapper eqinisekisa i-DUT nezinye izingxenye ze-testbench.
<design_example_dir>/simulation/ed_fw/flow.c Umthombo wekhodi ye-C file.
Izikripthi ze-Testbench
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do Iskripthi se-Siemens EDA QuestaSim sokuqalisa ibhentshi le-test.
<design_example_dir>/simulation/setup_scripts/synopsy/vcs/run_vcs.sh Iskripthi se-Synopsy VCS sokuqalisa ibhentshi lokuhlola.
<design_example_dir>/simulation/setup_scripts/synopsy/vcsmx/run_vcsmx.sh Iskripthi se-Synopsys VCS MX (kuhlanganiswe i-Verilog HDL kanye
I-SystemVerilog ene-VHDL) ukuze iqalise i-testbench.
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl Iskripthi se-Aldec* Riviera-PRO sokuqalisa ibhentshi lokuhlola.
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh Iskripthi seCadence* Xcelium sokuqalisa ibhentshi le-test.

Ithebula 2. eCPRI Intel FPGA IP Core Hardware Design Example File Izincazelo

File Amagama Izincazelo
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf Iphrojekthi ye-Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf Ukulungiselelwa kwephrojekthi ye-Intel Quartus Prime file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc I-Synopsys Design Constrants files. Ungakopisha futhi ulungise lezi files yedizayini yakho ye-Intel Stratix 10.
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv Idizayini yezinga eliphezulu ye-Verilog HDL example file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv I-DUT wrapper eqinisekisa i-DUT nezinye izingxenye ze-testbench.
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl Okuyinhloko file ukuze ufinyelele I-System Console (Itholakala kumiklamo ye-Intel Stratix 10 H-tile kanye ne-E-tile).
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl Okuyinhloko file ukuze ufinyelele I-System Console (Itholakala kumiklamo ye-Intel Arria 10).
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl Okuyinhloko file ukuze uthole i-System Console (Itholakala kumiklamo ye-Intel Agilex 7).

1.4. Ukulingisa i-Design Example Testbench
Umfanekiso 4. InquboI-eCPRI Intel FPGA IP Design - Umfanekiso 4

Landela lezi zinyathelo ukuze ulingise i-testbench:

  1. Emyalweni womyalo, shintshela kumkhombandlela wokulingisa we-testbenchample_dir>/simulation/setup_scripts.
  2. Ngokuhlukahluka kwedivayisi ye-Intel Agilex F-tile, landela lezi zinyathelo:
    a. Zulazulela ku-ample_dir>/simulation/quartus directory bese usebenzisa le miyalo emibili ngezansi: quartus_ipgenerate -run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    Okunye, ungase uvule iphrojekthi ye-ecpri_ed.qpf ku-Intel Quartus Prime Pro Edition futhi wenze ukuhlanganisa kuze kube yilapho i-Support Logic Generationtage.
    b. Zulazulela ku-ample_dir>/simulation/setup_scripts directory.
    c. Qalisa umyalo olandelayo: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. Qalisa iskripthi sokulingisa sesifanisi esisekelwayo ozikhethele sona. Umbhalo uhlanganisa futhi uqhube ibhentshi lokuhlola kusifanisi. Bheka ithebula elithi Izinyathelo Zokulingisa Ibhentshi Lokuhlola.
    Qaphela: Ukusekelwa kolimi lwe-VHDL kokulingisa kutholakala kuphela ngezilingisi ze-QuestaSim ne-VCS MX. Usekelo lolimi lwe-Verilog lokulingisa luyatholakala kuzo zonke izifanisi ezisohlwini lweThebula: Izinyathelo Zokulingisa Ibhentshi Lokuhlola.
  4. Hlaziya imiphumela. Ibhentshi lokuvivinya eliphumelelayo lithumela futhi lamukele amaphakethe, futhi libonisa okuthi “PASSED”.

Ithebula 3. Izinyathelo Zokulingisa I-Testbench

Isifanisi Iziyalezo
Sbusiso Emugqeni womyalo, thayipha i-vsim -do run_vsim.do Uma ukhetha ukulingisa ngaphandle kokuveza i-QuestaSim GUI, thayipha i-vsim -c -do run_vsim.do
I-VCS • Emugqeni womyalo, thayipha okuthi sh run_vcs.sh
• Zulazulela kuample_dir>/simulation/setup_scripts/ synopsys/vcs bese usebenzisa umyalo olandelayo: sh run_vcs.sh
I-VCS MX Emugqeni womyalo, thayipha okuthi sh run_vcsmx.sh
I-Riviera-PRO Emugqeni womyalo, thayipha i-vsim -c -do run_rivierapro.tcl
Qaphela: Isekelwa kuphela ekuhlukeni komklamo we-Intel Stratix 10 H-tile.
I-Xcelium(1) Emugqeni womyalo, thayipha okuthi sh run_xcelium.sh
  1. Lesi sifanisi asisekelwe ku-eCPRI Intel FPGA IP design example ekhiqizwe ngesici se-IWF esinikwe amandla.

Sample Output: Okulandelayo sampokukhiphayo kubonisa ukuqaliswa ngempumelelo kokuhlolwa kokulingisa kwe-eCPRI IP design example ngaphandle kwesici se-IWF esinikwe amandla ngeNombolo Yeziteshi = 4:

# Ilinde ukulungiswa kwe-RX
# Ideskithophu ye-RX ikhiyiwe
# Ukuqondanisa komugqa we-RX kukhiyiwe
# Ilinde iphutha lesixhumanisi licace
# Iphutha lesixhumanisi licacile
# Ikheli lomthombo we-MAC 0_0 Isiteshi 0: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 0: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 0: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 0: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 0: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 0: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 0: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 0: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 0: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 0: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 0: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 0: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 0: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 0: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 0: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 0: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 0: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 0: 00000241
# eCPRI inguqulo Channel 0: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 1: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 1: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 1: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 1: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 1: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 1: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 1: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 1: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 1: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 1: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 1: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 1: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 1: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 1: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 1: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 1: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 1: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 1: 00000241
# eCPRI inguqulo Channel 1: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 2: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 2: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 2: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 2: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 2: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 2: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 2: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 2: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 2: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 2: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 2: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 2: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 2: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 2: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 2: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 2: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 2: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 2: 00000241
# eCPRI inguqulo Channel 2: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 3: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 3: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 3: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 3: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 3: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 3: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 3: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 3: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 3: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 3: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 3: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 3: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 3: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 3: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 3: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 3: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 3: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 3: 00000241
# eCPRI inguqulo Channel 3: 2
# ____________________________________________________________________
# ULWAZI: Iphelelwe isimo sokusetha kabusha
# ____________________________________________________________________
#
#
# Isiteshi 0 i-eCPRI TX SOPs ibala : 0
# Isiteshi 0 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 0 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 0 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 0 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 0 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 0 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 0 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 0 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 0 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 1 i-eCPRI TX SOPs ibala : 0
# Isiteshi 1 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 1 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 1 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 1 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 1 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 1 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 1 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 1 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 1 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 2 i-eCPRI TX SOPs ibala : 0
# Isiteshi 2 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 2 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 2 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 2 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 2 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 2 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 2 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 2 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 2 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 3 i-eCPRI TX SOPs ibala : 0
# Isiteshi 3 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 3 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 3 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 3 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 3 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 3 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 3 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 3 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 3 ukubalwa kwama-RX EOP angaphandle : 0
# ____________________________________________________________________
# ULWAZI: Qala ukudlulisa amaphakethe
# ____________________________________________________________________
#
#
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 0 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 0 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 0 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 0 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 0 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 0 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 1 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 1 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 1 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 1 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 1 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 1 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 2 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 2 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 2 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 2 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 2 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 2 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 3 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 3 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 3 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 3 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 3 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 3 kuqediwe
# ____________________________________________________________________
# ULWAZI: Yeka ukudlulisa amaphakethe
# ____________________________________________________________________
#
#
# ____________________________________________________________________
# ULWAZI: Ihlola izibalo zamaphakethe
# ____________________________________________________________________
#
#
# Isiteshi esingu-0 eCPRI SOPs sidluliselwe: 300
# Isiteshi esingu-0 eCPRI EOPs sidluliselwe: 300
# Isiteshi esingu-0 eCPRI SOPs sitholiwe: 300
# Isiteshi esingu-0 eCPRI EOPs sitholiwe: 300
# Isiteshi 0 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 0 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 0 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 0 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 0 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 0 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 0 ama-EOP angaphandle atholiwe: 132
# Isiteshi 0 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 0 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 0 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 0 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 0 Iphutha langaphandle libikiwe: 0
# Isiteshi 0 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-1 eCPRI SOPs sidluliselwe: 300
# Isiteshi esingu-1 eCPRI EOPs sidluliselwe: 300
# Isiteshi esingu-1 eCPRI SOPs sitholiwe: 300
# Isiteshi esingu-1 eCPRI EOPs sitholiwe: 300
# Isiteshi 1 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 1 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 1 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 1 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 1 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 1 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 1 ama-EOP angaphandle atholiwe: 132
# Isiteshi 1 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 1 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 1 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 1 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 1 Iphutha langaphandle libikiwe: 0
# Isiteshi 1 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-2 eCPRI SOPs sidluliselwe: 300
# Isiteshi esingu-2 eCPRI EOPs sidluliselwe: 300
# Isiteshi esingu-2 eCPRI SOPs sitholiwe: 300
# Isiteshi esingu-2 eCPRI EOPs sitholiwe: 300
# Isiteshi 2 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 2 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 2 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 2 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 2 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 2 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 2 ama-EOP angaphandle atholiwe: 132
# Isiteshi 2 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 2 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 2 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 2 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 2 Iphutha langaphandle libikiwe: 0
# Isiteshi 2 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-3 eCPRI SOPs sidluliselwe: 300
# Isiteshi esingu-3 eCPRI EOPs sidluliselwe: 300
# Isiteshi esingu-3 eCPRI SOPs sitholiwe: 300
# Isiteshi esingu-3 eCPRI EOPs sitholiwe: 300
# Isiteshi 3 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 3 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 3 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 3 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 3 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 3 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 3 ama-EOP angaphandle atholiwe: 132
# Isiteshi 3 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 3 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 3 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 3 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 3 Iphutha langaphandle libikiwe: 0
# Isiteshi 3 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# ____________________________________________________________________
# ULWAZI: Ukuhlolwa KWAPHASIWE
#
# ____________________________________________________________________

Sample Output: Okulandelayo sampokukhiphayo kubonisa ukuqaliswa ngempumelelo kokuhlolwa kokulingisa kwe-eCPRI IP design exampI-le enesici se-IWF esinikwe amandla ngenani lamashaneli = 4:

# Nika amandla i-CPRI TX
# Isiteshi se-CPRI 0 L1_CONFIG : 00000001
# Isiteshi se-CPRI 0 CPRI_CORE_CM_CONFIG : 00001ed4
# Isiteshi se-CPRI 1 L1_CONFIG : 00000001
# Isiteshi se-CPRI 1 CPRI_CORE_CM_CONFIG : 00001ed4
# Isiteshi se-CPRI 2 L1_CONFIG : 00000001
# Isiteshi se-CPRI 2 CPRI_CORE_CM_CONFIG : 00001ed4
# Isiteshi se-CPRI 3 L1_CONFIG : 00000001
# Isiteshi se-CPRI 3 CPRI_CORE_CM_CONFIG : 00001ed4
# Ilinde ukulungiswa kwe-RX
# Ideskithophu ye-RX ikhiyiwe
# Ukuqondanisa komugqa we-RX kukhiyiwe
# Ilinde iphutha lesixhumanisi licace
# Iphutha lesixhumanisi licacile
# Ikheli lomthombo we-MAC 0_0 Isiteshi 0: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 0: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 0: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 0: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 0: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 0: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 0: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 0: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 0: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 0: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 0: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 0: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 0: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 0: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 0: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 0: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 0: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 0: 0000ddee
# eCPRI Common Control Channel 0: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 0: 00000241
# eCPRI inguqulo Channel 0: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 1: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 1: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 1: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 1: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 1: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 1: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 1: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 1: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 1: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 1: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 1: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 1: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 1: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 1: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 1: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 1: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 1: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 1: 0000ddee
# eCPRI Common Control Channel 1: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 1: 00000241
# eCPRI inguqulo Channel 1: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 2: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 2: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 2: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 2: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 2: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 2: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 2: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 2: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 2: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 2: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 2: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 2: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 2: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 2: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 2: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 2: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 2: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 2: 0000ddee
# eCPRI Common Control Channel 2: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 2: 00000241
# eCPRI inguqulo Channel 2: 2
# Ikheli lomthombo we-MAC 0_0 Isiteshi 3: 33445566
# Ikheli lomthombo we-MAC 0_1 Isiteshi 3: 00007788
# Ikheli Lendawo le-MAC 0_0 Isiteshi 3: 33445566
# Ikheli Lendawo le-MAC 0_1 Isiteshi 3: 00007788
# Ikheli Lendawo le-MAC 1_0 Isiteshi 3: 11223344
# Ikheli Lendawo le-MAC 1_1 Isiteshi 3: 00005566
# Ikheli Lendawo le-MAC 2_0 Isiteshi 3: 22334455
# Ikheli Lendawo le-MAC 2_1 Isiteshi 3: 00006677
# Ikheli Lendawo le-MAC 3_0 Isiteshi 3: 44556677
# Ikheli Lendawo le-MAC 3_1 Isiteshi 3: 00008899
# Ikheli Lendawo le-MAC 4_0 Isiteshi 3: 66778899
# Ikheli Lendawo le-MAC 4_1 Isiteshi 3: 0000aabb
# Ikheli Lendawo le-MAC 5_0 Isiteshi 3: 778899aa
# Ikheli Lendawo le-MAC 5_1 Isiteshi 3: 0000bbcc
# Ikheli Lendawo le-MAC 6_0 Isiteshi 3: 8899aabb
# Ikheli Lendawo Le-MAC 6_1 Isiteshi 3: 0000ccdd
# Ikheli Lendawo le-MAC 7_0 Isiteshi 3: 99aabbcc
# Ikheli Lendawo le-MAC 7_1 Isiteshi 3: 0000ddee
# eCPRI Common Control Channel 3: 00000041
# Nika amandla ukuphazamisa i-eCPRI Common Control Channel 3: 00000241
# eCPRI inguqulo Channel 3: 2
# Ilinde i-CPRI ifinyelele isimo sokuxhumanisa i-HSYNC
# Isiteshi se-CPRI 0 isimo se-HSYNC sizuziwe
# Isiteshi se-CPRI 1 isimo se-HSYNC sizuziwe
# Isiteshi se-CPRI 2 isimo se-HSYNC sizuziwe
# Isiteshi se-CPRI 3 isimo se-HSYNC sizuziwe
# 11100250000 Bhala 1 ukuze nego_bitrate_complete
# 11100650000 Isiteshi Sokuvota esingu-PROT_VER 0
# ____________________________________________________________________
# 11100850000 Irejista yokuvota: a0000010
# ____________________________________________________________________
# 13105050000 Isiteshi Sokuvota esingu-PROT_VER 1
# ____________________________________________________________________
# 13105250000 Irejista yokuvota: a0800010
# ____________________________________________________________________
# 13105950000 Isiteshi Sokuvota esingu-PROT_VER 2
# ____________________________________________________________________
# 13106150000 Irejista yokuvota: a1000010
# ____________________________________________________________________
# 13106850000 Isiteshi Sokuvota esingu-PROT_VER 3
# ____________________________________________________________________
# 13107050000 Irejista yokuvota: a1800010
# ____________________________________________________________________
# 13107750000 Bhala oku-1 ku-nego_protol_complete
# 13108150000 Isiteshi Sokuvota CM_STATUS.rx_fast_cm_ptr_valid 0
# ____________________________________________________________________
# 13108350000 Irejista yokuvota: a0000020
# ____________________________________________________________________
# 14272050000 Isiteshi Sokuvota CM_STATUS.rx_fast_cm_ptr_valid 1
# ____________________________________________________________________
# 14272250000 Irejista yokuvota: a0800020
# ____________________________________________________________________
# 14272950000 Isiteshi Sokuvota CM_STATUS.rx_fast_cm_ptr_valid 2
# ____________________________________________________________________
# 14273150000 Irejista yokuvota: a1000020
# ____________________________________________________________________
# 14273850000 Isiteshi Sokuvota CM_STATUS.rx_fast_cm_ptr_valid 3
# ____________________________________________________________________
# 14274050000 Irejista yokuvota: a1800020
# ____________________________________________________________________
# 14274750000 Bhala oku-1 ku-nego_cm_qedile
# 14275150000 Bhala oku-1 ku-nego_vss_complete
# Ilinde i-CPRI Channel 0 izuze i-HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F
# Isiteshi se-CPRI 0 HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F kuzuziwe
# Ilinde i-CPRI Channel 1 izuze i-HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F
# Isiteshi se-CPRI 1 HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F kuzuziwe
# Ilinde i-CPRI Channel 2 izuze i-HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F
# Isiteshi se-CPRI 2 HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F kuzuziwe
# Ilinde i-CPRI Channel 3 izuze i-HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F
# Isiteshi se-CPRI 3 HSYNC nokulandelana kokuqalisa kwe-FSM STATE_F kuzuziwe
# ____________________________________________________________________
# ULWAZI: Iphelelwe isimo sokusetha kabusha
# ____________________________________________________________________
#
#
# Isiteshi 0 i-eCPRI TX SOPs ibala : 0
# Isiteshi 0 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 0 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 0 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 0 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 0 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 0 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 0 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 0 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 0 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 1 i-eCPRI TX SOPs ibala : 0
# Isiteshi 1 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 1 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 1 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 1 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 1 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 1 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 1 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 1 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 1 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 2 i-eCPRI TX SOPs ibala : 0
# Isiteshi 2 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 2 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 2 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 2 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 2 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 2 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 2 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 2 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 2 ukubalwa kwama-RX EOP angaphandle : 0
# Isiteshi 3 i-eCPRI TX SOPs ibala : 0
# Isiteshi 3 i-eCPRI TX EOPs ibalwa : 0
# Isiteshi 3 i-eCPRI RX SOPs ibalwa : 0
# Isiteshi 3 i-eCPRI RX EOPs ibalwa : 0
# Isiteshi 3 I-PTP TX SOP yangaphandle ibala : 0
# Isiteshi 3 Ukubalwa kwe-PTP TX EOPs yangaphandle : 0
# Isiteshi 3 Isibalo sangaphandle se-MISC TX SOPs : 0
# Isiteshi 3 ukubala kwangaphandle kwe-MISC TX EOPs : 0
# Isiteshi 3 Isibalo sangaphandle se-RX SOPs : 0
# Isiteshi 3 ukubalwa kwama-RX EOP angaphandle : 0
# ____________________________________________________________________
# ULWAZI: Qala ukudlulisa amaphakethe
# ____________________________________________________________________
#
#
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 0 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 0 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 0 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 0 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 0 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 0 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 1 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 1 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 1 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 1 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 1 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 1 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 2 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 2 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 2 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 2 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 2 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 2 kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi yeChannel 3 eCPRI TX ukuthi kuqedwe
# ULWAZI: Ukudluliselwa kwethrafikhi kwesiteshi 3 eCPRI TX kuqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 3 eCPRI External TX PTP
ephelele
# ULWAZI: Isiteshi 3 se-eCPRI sangaphandle se-TX PTP sokudlulisa ithrafikhi siqediwe
# ULWAZI: Ilinde ukudluliswa kwethrafikhi ye-Channel 3 eCPRI External TX Misc
ephelele
# ULWAZI: Ukudluliselwa kwethrafikhi ye-eCPRI yangaphandle ye-TX Misc yesiteshi 3 kuqediwe
# ____________________________________________________________________
# ULWAZI: Yeka ukudlulisa amaphakethe
# ____________________________________________________________________
#
#
# ____________________________________________________________________
# ULWAZI: Ihlola izibalo zamaphakethe
# ____________________________________________________________________
#
#
# Isiteshi esingu-0 eCPRI SOPs sidluliselwe: 50
# Isiteshi esingu-0 eCPRI EOPs sidluliselwe: 50
# Isiteshi esingu-0 eCPRI SOPs sitholiwe: 50
# Isiteshi esingu-0 eCPRI EOPs sitholiwe: 50
# Isiteshi 0 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 0 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 0 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 0 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 0 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 0 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 0 ama-EOP angaphandle atholiwe: 132
# Isiteshi 0 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 0 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 0 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 0 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 0 Iphutha langaphandle libikiwe: 0
# Isiteshi 0 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-1 eCPRI SOPs sidluliselwe: 50
# Isiteshi esingu-1 eCPRI EOPs sidluliselwe: 50
# Isiteshi esingu-1 eCPRI SOPs sitholiwe: 50
# Isiteshi esingu-1 eCPRI EOPs sitholiwe: 50
# Isiteshi 1 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 1 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 1 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 1 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 1 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 1 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 1 ama-EOP angaphandle atholiwe: 132
# Isiteshi 1 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 1 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 1 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 1 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 1 Iphutha langaphandle libikiwe: 0
# Isiteshi 1 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-2 eCPRI SOPs sidluliselwe: 50
# Isiteshi esingu-2 eCPRI EOPs sidluliselwe: 50
# Isiteshi esingu-2 eCPRI SOPs sitholiwe: 50
# Isiteshi esingu-2 eCPRI EOPs sitholiwe: 50
# Isiteshi 2 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 2 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 2 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 2 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 2 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 2 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 2 ama-EOP angaphandle atholiwe: 132
# Isiteshi 2 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 2 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 2 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 2 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 2 Iphutha langaphandle libikiwe: 0
# Isiteshi 2 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# Isiteshi esingu-3 eCPRI SOPs sidluliselwe: 50
# Isiteshi esingu-3 eCPRI EOPs sidluliselwe: 50
# Isiteshi esingu-3 eCPRI SOPs sitholiwe: 50
# Isiteshi esingu-3 eCPRI EOPs sitholiwe: 50
# Isiteshi 3 Iphutha le-eCPRI libikiwe: 0
# Isiteshi 3 Ama-SOP angaphandle e-PTP adlulisiwe: 4
# Isiteshi 3 Ama-PTP EOP angaphandle adlulisiwe: 4
# Isiteshi 3 Ama-SOP angaphandle e-MISC adlulisiwe: 128
# Isiteshi 3 Ama-MISC EOP angaphandle adlulisiwe: 128
# Isiteshi 3 Ama-SOP angaphandle atholiwe: 132
# Isiteshi 3 ama-EOP angaphandle atholiwe: 132
# Isiteshi 3 Ama-SOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 3 Ama-EOP e-PTP yangaphandle atholiwe: 4
# Isiteshi 3 Ama-SOP angaphandle e-MISC atholiwe: 128
# Isiteshi 3 Ama-MISC EOP angaphandle atholiwe: 128
# Isiteshi 3 Iphutha langaphandle libikiwe: 0
# Isiteshi 3 Izikhathi Zangaphandleamp Iphutha lezigxivizo zeminwe libikiwe: 0
# ____________________________________________________________________
# ULWAZI: Ukuhlolwa KWAPHASIWE
#
# ____________________________________________________________________

1.4.1. Inika amandla ukulungiselelwa kabusha kwe-Dynamic ku-Ethernet IP
Ngokuzenzakalela, ukulungisa kabusha okuguquguqukayo kukhutshaziwe kumklamo we-eCPRI IP example futhi isebenza kuphela ku-Intel Stratix 10 (E-tile ne-H-tile) kanye ne-Intel Agilex 7 (E-tile) design ex.ampLes.

  1. Bheka umugqa olandelayo ku-test_wrapper.sv kusukela kokwenziweample_dir>/simulation/testbench directory: ipharamitha ETHERNET_DR_EN = 0
  2. Shintsha inani ukusuka ku-0 kuye ku-1: ipharamitha ETHERNET_DR_EN = 1
  3. Qalisa kabusha ukulingisa usebenzisa i-ex ekhiqiziwe efanayoample design directory.

1.5. Ukuhlanganisa Iphrojekthi Yokuhlanganisa Kuphela
Ukuhlanganisa isib sokuhlanganiswa kuphelaample phrojekthi, landela lezi zinyathelo:

  1. Qinisekisa ukuhlanganisa idizayini exampisizukulwane sesiphelile.
  2. Kuhlelo lwe-Intel Quartus Prime Pro Edition, vula iphrojekthi ye-Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
  3. Kumenyu Yokucubungula, chofoza Qala Ukuhlanganisa.
  4. Ngemva kokuhlanganiswa ngempumelelo, imibiko yokubeka isikhathi kanye nokusetshenziswa kwensiza iyatholakala kuseshini yakho ye-Intel Quartus Prime Pro Edition. Iya kokuthi Ukucubungula ➤ Umbiko Wokuhlanganiswa kokuthi view umbiko onemininingwane yokuhlanganiswa.
    Ulwazi Oluhlobene
    Ukugeleza Kwedizayini Okusekelwe Ebhulokhini

1.6. Ukuhlanganisa kanye nokulungiselela i-Design Exampku-Hardware
Ukuhlanganisa i-hardware design example futhi uyilungiselele kudivayisi yakho ye-Intel, landela lezi zinyathelo:

  1. Qinisekisa ukuthi i-hardware design exampisizukulwane sesiphelile.
  2. Kuhlelo lwe-Intel Quartus Prime Pro Edition, vula iphrojekthi ye-Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
  3. Kumenyu Yokucubungula, chofoza Qala Ukuhlanganisa.
  4. Ngemva kokuhlanganiswa ngempumelelo, i-.sof file iyatholakala kuample_dir>/ synthesis/quartus/output_files lwemibhalo. Landela lezi zinyathelo ukuze uhlele i-hardware design example kudivayisi ye-Intel FPGA:
    a. Xhuma Ikhithi Yokuthuthukisa kukhompuyutha yokusingatha.
    b. Yethula uhlelo lokusebenza lwe-Clock Control, oluyingxenye yekhithi yokuthuthukisa, bese usetha amafrikhwensi amasha e-design ex.ample. Ngezansi isethingi yefrikhwensi kuhlelo Lokulawula Iwashi:
    • Uma uqondise umklamo wakho ku-Intel Stratix 10 GX SI Development Kit:
    - U5, OUT8- 100 MHz
    - U6, OUT3- 322.265625 MHz
    — U6, OUT4 kanye OUT5- 307.2 MHz
    • Uma uqondise umklamo wakho ku-Intel Stratix 10 TX SI Development Kit:
    — U1, CLK4- 322.265625 MHz (Okwezinga ledatha elingu-25G)
    — U6- 156.25 MHz (Okwezinga ledatha le-10G)
    - U3, OUT3- 100 MHz
    - U3, OUT8- 153.6 MHz
    • Uma uqondise umklamo wakho ku-Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
    — U37, CLK1A- 100 MHz
    — U34, CLK0P- 156.25 MHz
    — U38, OUT2_P- 153.6 MHz
    • Uma uqondise umklamo wakho ku-Intel Arria 10 GX SI Development Kit:
    — U52, CLK0- 156.25 MHz
    — U52, CLK1- 250 MHz
    — U52, CLK3- 125 MHz
    — Y5- 307.2 MHz
    — Y6- 322.265625 MHz
    c. Kumenyu yamathuluzi, chofoza uMhleli.
    d. Ku-Programmer, chofoza Ukusethwa Kwezingxenyekazi zekhompuyutha.
    e. Khetha idivayisi yokuhlela.
    f. Khetha futhi wengeze Ikhithi Yokuthuthukisa lapho iseshini yakho ye-Intel Quartus Prime Pro Edition ingaxhumeka kuyo.
    g. Qinisekisa ukuthi Imodi isethwe ku-JTAG.
    h. Khetha idivayisi bese uchofoza Engeza idivayisi. I-Programmer ibonisa idayagramu yebhlokhi yokuxhumana phakathi kwamadivayisi ebhodini lakho.
    i. Layisha i.sof file kudivayisi yakho ye-Intel FPGA.
    j. Layisha ifomethi Esebenzisekayo Nexhumekayo (.elf) file ku-Intel Stratix 10 yakho noma
    Idivayisi ye-Intel Agilex 7 uma uhlela ukwenza ukulungisa kabusha okuguquguqukayo (DR) ukuze ushintshe izinga ledatha phakathi kuka-25G no-10G. Landela imiyalelo evela Ekukhiqizeni Nokudawuniloda Ifomethi Esebenzisekayo Nexhumanisayo (.elf) Programming File ekhasini 38 ukukhiqiza i-.elf file.
    k. Emgqeni ne-.sof yakho, hlola ibhokisi elithi Program/Lungisa le-.sof file.
    l. Chofoza Qala.

Ulwazi Oluhlobene

  • I-Block-based Design
  • Intel Quartus Prime Programmer Umhlahlandlela Womsebenzisi
  • Ukuhlaziya nokulungisa amadizayini nge-System Console
  • Intel Agilex 7 F-Series Transceiver-SoC Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi
  • I-Intel Arria 10 GX Transceiver Signal Integrity Development Kit Umhlahlandlela Womsebenzisi

1.7. Ihlola i-eCPRI Intel FPGA IP Design Example
Ngemva kokuhlanganisa i-eCPRI Intel FPGA IP core design example futhi uyilungiselele kudivayisi yakho ye-Intel FPGA, ungasebenzisa Ikhonsoli Yesistimu ukuze uhlele umongo we-IP kanye namarejista awo ayinhloko e-PHY IP ashumekiwe.
Ukuze uvule ikhonsoli Yesistimu futhi uhlole idizayini yezingxenyekazi zekhompuyutha example, landela lezi zinyathelo:

  1. Ngemva kwe-hardware design example ilungiselelwe kudivayisi ye-Intel, kusofthiwe ye-Intel Quartus Prime Pro Edition, kumenyu ethi Amathuluzi, chofoza Amathuluzi Okulungisa Amaphutha Esistimu ➤ Ikhonsoli Yesistimu.
  2. Kufasitelana le-Tcl Console, shintsha uhla lwemibhalo lubeample_dir>/ synthesis/quartus/hardware_test bese uthayipha umyalo olandelayo ukuze uvule uxhumano ku-JTAG master bese uqala isivivinyo:
    • umthombo ecpri_agilex.tcl wemiklamo ye-Intel Agilex 7
    • umthombo ecpri_s10.tcl wemiklamo ye-Intel Stratix 10
    • umthombo ecpri_a10.tcl wemiklamo ye-Intel Arria 10
  3. Ngokuhlukahluka kwedivayisi yakho ye-Intel Stratix 10 noma ye-Intel Agilex 7 E-tile, kufanele wenze umyalo we-loopback wangaphakathi noma wangaphandle kanye ngemva kokuhlela i-.sof file:
    a. Lungisa TEST_MODE okuhlukile ku-flow.c file ukuze ukhethe imodi ye-loopback:
    TEST_MODE Isenzo
    0 I-loopback ye-serial ivumela ukulingiswa kuphela
    1 I-serial loopback ivumela ihadiwe kuphela
    2 I-loopback ye-serial kanye nokulinganisa
    3 Ukulinganisa kuphela

    Kufanele uhlanganise futhi wenze kabusha isofthiwe ye-NIOS II noma nini lapho ushintsha ukugeleza.c file.
    b. Khiqiza kabusha i-.elf file kanye nohlelo ebhodini kanye futhi bese uhlela kabusha i-.sof file.

  4. Hlola umsebenzi wokuklama ngemiyalo esekelwe kusikripthi sekhonsoli yesistimu. Iskripthi sekhonsoli yesistimu sinikeza imiyalo ewusizo yokufunda izibalo nezici ezivumela ukwakheka.

Ithebula 4. Imiyalo Yeskripthi Yekhonsoli Yesistimu

Umyalo Incazelo
vula_kuvuliwe Inika amandla i-TX kuya ku-RX yangaphakathi ye-serial loopback. Sebenzisa kumadivayisi we-Intel Stratix 10 H-tile kanye ne-Intel Arria 10 kuphela.
luphu_cisha Ikhubaza i-TX kuya ku-RX yangaphakathi ye-serial loopback. Sebenzisa kumadivayisi we-Intel Stratix 10 H-tile kanye ne-Intel Arria 10 kuphela.
isixhumanisi _ init _ int _1pbk Inika amandla i-TX kuya ku-RX ye-serial loopback yangaphakathi ngaphakathi kwe-transceiver futhi yenza ukugeleza kokulinganisa kwe-transceiver. Isebenza kumiklamo ye-Intel Stratix 10 E-tile kanye ne-Intel Agilex 7 E-tile kuphela.
isixhumanisi _ init _ ext _1pbk Inika amandla i-TX kuya ku-RX i-loopback yangaphandle futhi yenza ukugeleza kokulinganisa kwe-transceiver. Isebenza kumiklamo ye-Intel Stratix 10 E-tile kanye ne-Intel Agilex 7 E-tile kuphela.
traffic gen khubaza Ikhubaza ijeneretha yethrafikhi nesihloli.
izibalo ze-chkmac Ibonisa izibalo ze-Ethernet MAC.
funda_ izibalo_ zokuhlola Bonisa izibalo zephutha ze-traffic generator nezihloli.
ext _ okuqhubekayo _ imodi _zu Isetha kabusha yonke isistimu yokuklama, futhi inike amandla ijeneretha yethrafikhi ukuthi ikhiqize amaphakethe ethrafikhi aqhubekayo.
dr _ 25g _ kuya _ lOg _etile Ishintsha isilinganiso sedatha ye-Ethernet MAC isuka ku-25G iye ku-10G. Sebenzisa kumadivayisi we-Intel Stratix 10 E-tile kanye ne-Intel Agilex 7 E-tile kuphela.
dr_25g_to_10g_htile Ishintsha isilinganiso sedatha ye-Ethernet MAC isuka ku-25G iye ku-10G. Sebenzisa kumadivayisi we-H-tile kuphela
dr_10g_to_25g_etile Ishintsha isilinganiso sedatha ye-Ethernet MAC isuka ku-10G iye ku-25G. Sebenzisa kumadivayisi we-Intel Stratix 10 E-tile kanye ne-Intel Agilex 7 E-tile kuphela.
dr _ 25g _ kuya _ lOg _htile Ishintsha isilinganiso sedatha ye-Ethernet MAC isuka ku-10G iye ku-25G. Sebenzisa kumadivayisi we-H-tile kuphela.

Okulandelayo sampi-le output ibonisa ukuqaliswa kokuhlolwa okuyimpumelelo:
Ukuphrinta Kwekhonsoli Yesistimu (Inombolo Yeziteshi = 1)
Isiteshi 0 EXT PTP TX SOP Isibalo: 256
Isiteshi 0 EXT PTP TX EOP Isibalo: 256
Isiteshi 0 EXT MISC TX SOP Isibalo: 36328972
Isiteshi 0 EXT MISC TX EOP Ukubala: 36369511
Isiteshi 0 EXT RX SOP Ukubala: 36410364
Isiteshi 0 EXT RX EOP Ukubala: 36449971
Isiteshi 0 EXT Amaphutha Okuhlola: 0
Isiteshi 0 EXT Izibalo Zephutha Lokuhlola: 0
Isiteshi 0 EXT PTP Amaphutha Ngezigxivizo Zeminwe: 0
Isiteshi 0 EXT PTP Izibalo Zephutha Zezigxivizo Zeminwe: 0
Isiteshi 0 TX SOP Ukubala: 1337760
Isiteshi 0 Isibalo se-TX EOP: 1339229
Isiteshi 0 RX SOP Ukubala: 1340728
Isiteshi 0 RX EOP Ukubala: 1342555
Amaphutha Okuhlola Isiteshi 0: 0
Izibalo Zephutha Lokuhlola Isiteshi 0: 0

==================================================================
==============
IZIBALO ZE-ETHERNET MAC ZEsiteshi 0 (Rx)

==================================================================
==============
Amafreyimu ahlukanisiwe: 0
Amafreyimu ahlanganisiwe: 0
Usayizi Ongakwesokudla Nge-FCS Err Frames : 0
I-Multicast data Err Frames : 0
Sakaza idatha yamaphutha ozimele : 0
Idatha ye-Unicast Err Frames : 0
64 Byte Frames : 3641342
65 – 127 Byte Frames : 0
128 – 255 Byte Frames : 37404809
256 – 511 Byte Frames : 29128650
512 – 1023 Byte Frames : 0
1024 – 1518 Byte Frames : 0
1519 - MAX Byte Frames : 0
> MAX Byte Frames : 0
Idatha ye-Multicast OK Frame : 70174801
Sakaza idatha ye-OK Frame : 0
Idatha ye-Unicast OK Frames : 0
I-Multicast Control Frames: 0
Amafreyimu okulawula ukusakaza : 0
Unicast Control Frames: 0
Misa Isikhashana Amafreyimu Okulawula : 0
I-Payload Octets KULUNGILE : 11505935812
Uzimele Octets KULUNGILE : 12918701444
I-Rx Maximum Ubude Bozimele : 1518
Noma yimuphi usayizi one-FCS Err Frame: 0
I-Multicast Control Err Frame: 0
Uhlaka lwephutha lokulawula ukusakaza : 0
Ukulawulwa kwe-Unicast Err Frames: 0
Ukulawula ukumisa okwesikhashana ama-Err Frames : 0
I-Rx Frame Iqala : 70174801

Okulandelayo yi-sample okukhiphayo kokuhlolwa kwe-25G kuya ku-10G DR:
I-System Console Printout (25G kuya ku-10G DR E-tile)

Qalisa Ukumisa Kabusha Kwe-Dynamic ku-Ethernet 25G -> 10G
DR Iphumelele 25G -> 10G
Ukufinyelela Kwerejista ye-RX PHY: Ihlola Amafrikhwensi ewashi (KHz)
I-TXCLK :16114 (KHZ)
I-RXCLK :16113 (KHZ)
Ukuvota Kwesimo Se-RX PHY
Isimo se-Rx Frequency Lock 0x0000000f
Iwashi le-Mac likuSimo Esilungile? 0x00000001
Iphutha Lozimele we-Rx ? 0x00000000
I-Rx PHY Iqondaniswe Ngokugcwele? 0x00000001
Ukuvotela Isiteshi se-RX PHY 0
I-RX PHY Channel 0 iyasebenza futhi!

I-System Console Printout (25G kuya ku-10G DR H-tile)
Qalisa Ukumisa Kabusha Kwe-Dynamic ku-Ethernet 25G -> 10G
DR Iphumelele 25G -> 10G
Ukufinyelela Kwerejista ye-RX PHY: Ihlola Amafrikhwensi ewashi (KHz)
I-TXCLK :15625 (KHZ)
I-RXCLK :15625 (KHZ)
Ukuvota Kwesimo Se-RX PHY
Isimo se-Rx Frequency Lock 0x00000001
Iwashi le-Mac likuSimo Esilungile? 0x00000007
Iphutha Lozimele we-Rx ? 0x00000000
I-Rx PHY Iqondaniswe Ngokugcwele? 0x00000001
Ukuvotela Isiteshi se-RX PHY 0
I-RX PHY Channel 0 iyasebenza futhi!

I-System Console Printout (10G kuya ku-25G DR E-tile)
Qalisa Ukumisa Kabusha Kwe-Dynamic ku-Ethernet 10G -> 25G
DR Iphumelele 10G -> 25G
Ukufinyelela Kwerejista ye-RX PHY: Ihlola Amafrikhwensi ewashi (KHz)
I-TXCLK :40283 (KHZ)
I-RXCLK :40283 (KHZ)
Ukuvota Kwesimo Se-RX PHY
Isimo se-Rx Frequency Lock 0x0000000f
Iwashi le-Mac likuSimo Esilungile? 0x00000001
Iphutha Lozimele we-Rx ? 0x00000000
I-Rx PHY Iqondaniswe Ngokugcwele? 0x00000001
Ukuvotela Isiteshi se-RX PHY 0
I-RX PHY Channel 0 iyasebenza futhi!

I-System Console Printout (10G kuya ku-25G DR H-tile)
Qalisa Ukumisa Kabusha Kwe-Dynamic ku-Ethernet 10G -> 25G
DR Iphumelele 10G -> 25G
Ukufinyelela Kwerejista ye-RX PHY: Ihlola Amafrikhwensi ewashi (KHz)
I-TXCLK :39061 (KHZ)
I-RXCLK :39063 (KHZ)
Ukuvota Kwesimo Se-RX PHY
Isimo se-Rx Frequency Lock 0x00000001
Iwashi le-Mac likuSimo Esilungile? 0x00000007
Iphutha Lozimele we-Rx ? 0x00000000
I-Rx PHY Iqondaniswe Ngokugcwele? 0x00000001
Ukuvotela Isiteshi se-RX PHY 0
I-RX PHY Channel 0 iyasebenza futhi!

I-Design Example Incazelo

Umklamo exampI-le ibonisa ukusebenza okuyisisekelo kwe-eCPRI IP core. Ungakwazi ukukhiqiza umklamo kusukela Example Design ithebhu kusihleli sepharamitha ye-eCPRI IP.

2.1. Izici

  • Imodi ye-serial loopback ye-TX ne-RX
  • Yakha ngokuzenzakalelayo amaphakethe osayizi ongashintshi
  • Amakhono okuhlola iphakethe ayisisekelo
  • Ikhono lokusebenzisa Ikhonsoli Yesistimu ukuhlola idizayini nokusetha kabusha idizayini ngenjongo yokuhlola kabusha

2.2. I-Hardware Design Example
Umfanekiso 5. Vimba Umdwebo we-Intel Agilex 7 F-tile DesignsI-eCPRI Intel FPGA IP Design - Umfanekiso 5

Inkampani ye-Intel Wonke Amalungelo Agodliwe. I-Intel, ilogo ye-Intel, nezinye izimpawu ze-Intel yizimpawu zokuthengisa ze-Intel Corporation noma izinkampani ezingaphansi kwayo. I-Intel iqinisekisa ukusebenza kwe-FPGA yayo kanye nemikhiqizo yesemiconductor ekucacisweni kwamanje ngokuvumelana newaranti evamile ye-Intel, kodwa igodla ilungelo lokwenza izinguquko kunoma imiphi imikhiqizo namasevisi nganoma yisiphi isikhathi ngaphandle kwesaziso. I-Intel ayithathi mthwalo noma isikweletu esivele ngenxa yesicelo noma ukusetshenziswa kwanoma yiluphi ulwazi, umkhiqizo, noma isevisi echazwe lapha ngaphandle kwalapho okuvunyelwene ngakho ngokubhaliwe yi-Intel. Amakhasimende e-Intel ayelulekwa ukuthi athole inguqulo yakamuva yokucaciswa kwedivayisi ngaphambi kokuthembela kunoma yiluphi ulwazi olushicilelwe nangaphambi kokufaka ama-oda emikhiqizo noma amasevisi. *Amanye amagama namabhrendi angafunwa njengempahla yabanye.

Umfanekiso 6. Vimba Umdwebo we-Intel Agilex 7 E-tile DesignsI-eCPRI Intel FPGA IP Design - Umfanekiso 6Umfanekiso 7. Vimba Umdwebo we-Intel Stratix 10 DesignsI-eCPRI Intel FPGA IP Design - Umfanekiso 7

Umfanekiso 8. Vimba Umdwebo we-Intel Arria 10 DesignsI-eCPRI Intel FPGA IP Design - Umfanekiso 8I-eCPRI Intel FPGA IP core design hardware example ihlanganisa izingxenye ezilandelayo:
eCPRI Intel FPGA IP
Yamukela idatha evela kumajeneretha wethrafikhi afakwe ngaphakathi kwesisonga sokuhlola futhi ibeke phambili idatha ukuze idluliselwe ku-Ethernet IP.

I-Ethernet IP

  • I-F-tile Ethernet Intel FPGA Hard IP (imiklamo ye-Intel Agilex 7 F-tile)
  • I-E-tile Hard IP ye-Ethernet (imiklamo ye-Intel Stratix 10 noma ye-Intel Agilex 7 E-tile)
  • I-25G Ethernet Intel Stratix 10 IP (imiklamo ye-Intel Stratix 10 H-tile)
  • I-Low Latency Ethernet 10G MAC IP ne-1G/10GbE kanye ne-10GBASE-KR PHY IP (imiklamo ye-Intel Arria 10)

I-Precision Time Protocol (PTP) IO PLL
Okwemiklamo ye-Intel Stratix 10 H-tile—Iqinisiwe ukuze ikhiqize iwashi lereferensi yokukala ukubambezeleka kwe-Ethernet IP kanye ne-s.ampiwashi le-ling yesistimu engaphansi ye-Time of Day (TOD). Ku-25G Ethernet Intel Stratix 10 FPGA IP enesici se-IEEE 1588v2, i-Intel incoma ukuthi usethe ifrikhwensi yaleli washi ibe ngu-156.25 MHz. Bheka i-25G Ethernet Intel Stratix 10 FPGA IP User Guide kanye ne-Intel Stratix 10 H-tile Transceiver PHY User Guide ukuze uthole ulwazi olwengeziwe. I-PTP IOPLL iphinda ikhiqize iwashi eliyireferensi le-eCPRI IO PLL ngendlela ye-cascading.
Kumadizayini we-Intel Arria 10—Isungulwe ukuze ikhiqize okokufaka kwewashi okungu-312.5 MHz no-156.25 MHz kwe-Low Latency Ethernet 10G MAC IP kanye ne-1G/10GbE, 10GBASE-KR PHY IP, kanye ne-eCPRI IP .

I-eCPRI IO PLL
Ikhiqiza okukhiphayo kwewashi elingu-390.625 MHz kundlela ye-TX ne-RX ye-eCPRI IP, kanye nezingxenye zethrafikhi.
Qaphela: Le bhulokhi ikhona kuphela ku-ex designample yakhelwe amadivayisi we-Intel Stratix 10 kanye ne-Intel Agilex 7.

Qaphela: Inguqulo yamanje ye-eCPRI Intel FPGA IP isekela kuphela uhlobo lwe-IWF 0. Kumadivayisi we-Intel Agilex 7 F-tile, i-design exampi-le enikwe amandla ngesici se-IWF ayisekelwe.
Uma udala i-ex designample nge-Interworking Function (IWF) Ipharamitha yokusekela ivaliwe, ithrafikhi yephakethe igeleza ngokuqondile isuka kumojula ye-wrapper yokuhlola iye kusixhumi esibonakalayo somthombo we-Avalon-ST/sinki kanye nesixhumi esibonakalayo somthombo/usinki wangaphandle we-eCPRI IP.
Uma udala i-ex designampLe enepharamitha yokusekela ye-Interworking Function (IWF) ivuliwe, ithrafikhi yephakethe igelezela kusixhumi esibonakalayo sikasinki se-IWF Avalon-ST isuka kumojula yokugoqa ukuhlolwa kuqala, futhi iphuma kusixhumanisi somthombo we-IWF Avalon-ST siye kumthombo/usinki we-eCPRI Avalon-ST esibonakalayo.
I-CPRI MAC
Ihlinzeka ngengxenye ye-CPRI yesendlalelo soku-1 kanye nesendlalelo esigcwele sesi-2 sokudluliswa kwendiza yomsebenzisi, i-C&M, nolwazi lokuvumelanisa phakathi kwe-REC ne-RE kanye naphakathi kwe-RE ezimbili,
I-CPRI PHY
Ihlinzeka ngengxenye esele yephrothokholi ye-CPRI layer 1 yombhalo wekhodi, ukulungisa iphutha/ukubona iphutha elincane, nokunye.

Qaphela: I-CPRI MAC ne-CPRI PHY IP zifakwe kulesi sib somklamoampI-le ilungiselelwe ukuthi isebenze ngesilinganiso somugqa owodwa we-CPRI 9.8 Gbps kuphela. Umklamo exampI-le ayisekeli ukuxoxisana okuzenzakalelayo kwesilinganiso somugqa ekukhishweni kwamanje.

I-Wrapper yokuhlola
Iqukethe amajeneretha wethrafikhi nezihloli ezikhiqiza isethi ehlukile yamaphakethe edatha kuzixhumanisi ze-Avalon Streaming (Avalon-ST) ze-eCPRI IP njengoba ngezansi:

  • Amaphakethe e-eCPRI kumthombo we-Avalon-ST/usinki interface (isici se-IWF sikhutshaziwe):
    — Isekela kuphela uhlobo 2 lomlayezo.
    - Ukwenziwa kwemodi yokubuyela emuva ngokwenziwa kwemodi yephethini ekhuphukayo kanye nosayizi wokukhokha wamabhayithi angama-72 ngephakethe ngalinye.
    - Iyalungiseka nge-CSR ukuze isebenze kumodi engaqhubeki noma eqhubekayo.
    - Isimo sezibalo zephakethe le-TX/RX esitholakalayo ukufinyelela nge-CSR.
  • Amaphakethe e-eCPRI kumthombo we-Avalon-ST/sinki interfaces (isici se-IWF sinikwe amandla):
    — Isekela kuphela uhlobo lomlayezo 0 ekukhululweni kwamanje.
    - Ukwakhiwa kwemodi yephethini ekhuphukayo ngokukhiqizwa kwegebe le-interpacket kanye nosayizi wokukhokha wamabhayithi angama-240 ngephakethe ngalinye.
    - Iyalungiseka nge-CSR ukuze isebenze kumodi engaqhubeki noma eqhubekayo.
    - Isimo sezibalo zephakethe le-TX/RX esitholakalayo ukufinyelela nge-CSR.
  • Iphakethe le-Precision Time Protocol (1588 PTP) kanye namaphakethe angewona awe-PTP engxubevange kumthombo wangaphandle/usinki ukusebenzelana nayo:
    — Isizukulwane sesihloko se-Ethernet esiqinile esinamapharamitha achazwe ngaphambilini: I-Ethertype0x88F7, uhlobo lomlayezo- Opcode 0 (Vumelanisa), kanye nenguqulo ye-PTP-0.
    - Ukwakhiwa kwemodi yephethini echazwe ngaphambilini enegebe le-interpacket lemijikelezo emi-2 kanye nosayizi womthwalo okhokhelwayo wamabhayithi angama-57 ngephakethe ngalinye.
    - Amaphakethe angu-128 akhiqizwa esikhathini somzuzwana owodwa.
    - Iyalungiseka nge-CSR ukuze isebenze kumodi engaqhubeki noma eqhubekayo.
    - Isimo sezibalo zephakethe le-TX/RX esitholakalayo ukufinyelela nge-CSR.
  • Amaphakethe angaphandle angewona awe-PTP:
    - Isizukulwane se-Static Ethernet Header enepharamitha echazwe ngaphambilini, i-Ethertype- 0x8100 (okungeyona i-PTP).
    - Ukukhiqizwa kwemodi yephethini ye-PRBS enegebe le-interpacket lemijikelezo emi-2 kanye nosayizi wokukhokha wamabhayithi angu-128 ngephakethe ngalinye.
    - Iyalungiseka nge-CSR ukuze isebenze kumodi engaqhubeki noma eqhubekayo.
    - Isimo sezibalo zephakethe le-TX/RX esitholakalayo ukufinyelela nge-CSR.

Uhlelo olungaphansi lweSikhathi Sosuku (TOD).
Iqukethe amamojula we-IEEE 1588 TOD womabili we-TX ne-RX, kanye nemojula eyodwa ye-IEEE 1588 TOD Synchronizer ekhiqizwe isoftware ye-Intel Quartus Prime.
I-Nios® II Subsystem
Iqukethe ibhuloho le-Avalon-MM elivumela ukuxazululwa kwedatha ye-Avalon-MM phakathi kwephrosesa ye-Nios II, usonga wokuhlola, namabhulokhi e-decoder ye-Avalon® -MM.
I-Nios II inesibopho sokushintsha izinga ledatha ngokusekelwe kokuphumayo okuvela enanini lerejista yokugoqa i-test_switch. Le block ihlela irejista edingekayo uma ithola umyalo ku-wrapper yokuhlola.

Qaphela: Le block ayikho ku-ex yomklamoampyenzelwe i-Intel Arria 10 kanye namadivayisi we-Intel Agilex 7 F-tile.
Ikhonsoli Yesistimu
Inikeza isixhumi esibonakalayo esisebenziseka kalula ukuze wenze ukulungisa iphutha kweleveli yokuqala futhi uqaphe isimo se-IP, kanye namajeneretha ethrafikhi nezihloli.
Ukulawulwa kwedemo
Le mojula iqukethe amamojula okuvumelanisa okusetha kabusha, kanye namamojula Womthombo wangaphakathi wesistimu kanye ne-Probe (ISSP) wokulungisa iphutha lesistimu yokuklama kanye nenqubo yokuqalisa.

Ulwazi Oluhlobene

  • 25G Ethernet Intel Stratix 10 FPGA IP Umhlahlandlela Womsebenzisi
  • I-E-tile Hard IP User Guide
  • I-eCPRI Intel FPGA IP User Guide
  • 25G Ethernet Intel Stratix 10 FPGA IP Design Example Umhlahlandlela Womsebenzisi
  • I-E-tile Hard IP ye-Intel Stratix 10 Design Exampngaphansi Umhlahlandlela Womsebenzisi
  • I-Intel Stratix 10 L- kanye ne-H-Tile Transceiver PHY Umhlahlandlela Womsebenzisi
  • I-E-Tile Transceiver PHY Umhlahlandlela Womsebenzisi
  • Intel Stratix 10 10GBASE-KR PHY IP Umhlahlandlela Womsebenzisi
  • I-E-tile Hard IP Intel Agilex Design Example Umhlahlandlela Womsebenzisi

2.3. Ukulingisa Idizayini Isibample
I-eCPRI design exampi-le ikhiqiza ibhentshi lokulinganisa lokulingisa nokulingisa files eqinisa i-eCPRI Intel FPGA IP core uma ukhetha inketho yokulingisa noma yokwenziwa nokulingisa.

Umfanekiso 9. eCPRI Intel FPGA IP Simulation Block DiagramI-eCPRI Intel FPGA IP Design - Umfanekiso 9

Qaphela: Ibhulokhi ye-Nios II Subsystem ayikho ku-ex designampyenzelwe i-Intel Arria 10 kanye namadivayisi we-Intel Agilex 7 F-tile.
Kulo mklamo exampfuthi, ibhentshi lesivivinyo sokulingisa linikeza ukusebenza okuyisisekelo njengokuqalisa nokulinda ukukhiya, ukudlulisa nokwamukela amaphakethe.

Ukuhlolwa okuphumelelayo kukhombisa okukhiphayo okuqinisekisa ukuziphatha okulandelayo:

  1. I-logic yeklayenti imisa kabusha i-IP core.
  2. I-logic yeklayenti ilinda ukuqondanisa kwe-datapath ye-RX.
  3. I-logic yeklayenti ithumela amaphakethe kusixhumi esibonakalayo se-Avalon-ST.
  4. Thola futhi uhlole okuqukethwe kanye nokunemba kwamaphakethe.
  5. Bonisa umlayezo othi “Test PASSED”.

2.4. Izimpawu Zokuxhumana
Ithebula 5. Idizayini Example Interface Signals

Isiginali Isiqondiso Incazelo
clk_ref Okokufaka Iwashi eliyisethenjwa le-Ethernet MAC.
• Ngemiklamo ye-Intel Stratix 10 E-tile, ye-Intel Agilex 7 E-tile ne-F-tile, okokufaka kwewashi okungu-156.25 MHz kwe-E-tile Ethernet Hard IP core noma i-F-tile Ethernet Hard IP core. Xhuma ku-i_clk_ref[0] ku-Ethernet Hard IP.
• Ngemiklamo ye-Intel Stratix 10 H-tile, okokufaka kwewashi okungu-322.2625 MHz kwe-Transceiver ATX PLL kanye ne-25G Ethernet IP. Xhuma ku-pll_refclk0[0] ku-Transceiver ATX PLL kanye ne-clk_ref[0] ku-25G Ethernet IP.
• Ngemiklamo ye-Intel Arria 10, okokufaka kwewashi okungu-322.265625 MHz kwe-Transceiver ATX PLL ne-1G/ 10GbE kanye ne-10GBase-KR PHY IP. Xhuma ku-pll_refclk0[0] ku-Transceiver ATX PLL kanye no-rx_cdr_ref_clk_10g[0] ku-1G/ 10GbE naku-10G BASE-KR PHY IP.
tod_sync_sampngk_clk Okokufaka Ngemiklamo ye-Intel Arria 10, okokufaka kwewashi okungu-250 MHz kusistimu engaphansi ye-TOD.
cl100 Okokufaka Iwashi lokuphatha. Leli washi lisetshenziselwa ukukhiqiza i-latency_clk ye-PTP. Shayela ngo-100 MHz.
mgmt_reset_n Okokufaka Setha kabusha isiginali yesistimu ye-Nios II.
tx_serial Okukhiphayo Idatha ye-serial ye-TX. Isekela iziteshi ezi-4.
rx_serial Okokufaka Idatha ye-RX. Isekela iziteshi ezi-4.
iwf_cpri_ehip_ref_clk Okokufaka Okokufaka kwewashi lesithenjwa se-E-tile CPRI PHY. Leli washi likhona kuphela ku-Intel Stratix 10 E-tile naku-Intel
Imiklamo ye-E-tile ye-Agilex 7. Shayela ngo-153.6 MHz ngo-9.8 Gbps CPRI isilinganiso somugqa.
iwf_cpri_pll_refclk0 Okukhiphayo Iwashi lereferensi le-CPRI TX PLL.
• Ngemiklamo ye-Intel Stratix 10 H-tile: Shayela ku-307.2 MHz ngenani ledatha le-CPRI elingu-9.8 Gbps.
• Ngemiklamo ye-Intel Stratix 10 E-tile ne-Intel Agilex 7 E- tile: Shayela ku-156.25 MHz ngenani ledatha le-CPRI elingu-9.8 Gbps.
iwf_cpri_xcvr_cdr_refclk Okukhiphayo Iwashi lereferensi le-CDR elamukela i-CPRI. Leli washi likhona kuphela kumiklamo ye-Intel Stratix 10 H-tile.
Shayela ngo-307.2 MHz ngo-9.8 Gbps CPRI isilinganiso somugqa.
iwf_cpri_xcvr_txdataout Okukhiphayo I-CPRI idlulisa idatha ye-serial. Isekela iziteshi ezi-4.
iwf_cpri_xcvr_rxdatain Okukhiphayo Idatha ye-serial yomamukeli we-CPRI. Isekela iziteshi ezi-4.
cpri_gmii_clk Okokufaka Iwashi lokufaka le-CPRI GMII 125 MHz.

Ulwazi Oluhlobene
Izimpawu ze-PHY Interface
Ifaka kuhlu amasiginali we-PHY we-25G Ethernet Intel FPGA IP.

2.5. Umklamo Example Bhalisa imephu
Ngezansi imephu yerejista ye-eCPRI IP core design example:
Ithebula 6. eCPRI Intel FPGA IP Design Example Register Mapping

Ikheli  Bhalisa
0x20100000 – 0x201FFFFF(2) Irejista yokumisa kabusha ye-IOPLL.
0x20200000 – 0x203FFFFF Irejista ye-Ethernet MAC Avalon-MM
0x20400000 – 0x205FFFFF Irejista ye-Ethernet MAC Native PHY Avalon-MM
0x20600000 – 0x207FFFFF(2) Irejista yomdabu ye-PHY RS-FEC Avalon-MM.
0x40000000 - 0x5FFFFFFF Irejista ye-eCPRI IP Avalon-MM
0x80000000 - 0x9FFFFFFF Irejista ye-Ethernet Design Test Generator/Verifier Avalon-MM

Ithebula 7. Nios II Register Mapping
Amarejista kuthebula elingezansi atholakala kuphela ku-ex designampyenzelwe i-Intel Stratix 10 noma i-Intel Agilex 7 E-tile amadivayisi.

Ikheli  Bhalisa
0x00100000 – 0x001FFFFF Irejista yokumisa kabusha ye-IOPLL
0x00200000 – 0x003FFFFF Irejista ye-Ethernet MAC Avalon-MM
0x00400000 – 0x005FFFFF Irejista ye-Ethernet MAC Native PHY Avalon-MM
0x00600000 – 0x007FFFFF Irejista yomdabu ye-PHY RS-FEC Avalon-MM

Qaphela: Ungafinyelela amarejista e-Ethernet MAC kanye ne-Ethernet MAC Native PHY AVMM usebenzisa i-word offset esikhundleni se-byte offset.
Ukuze uthole ulwazi oluningiliziwe nge-Ethernet MAC, Ethernet MAC Native PHY, kanye ne-eCPRI IP yamamephu erejista, bheka imihlahlandlela yabasebenzisi abafanele.

(2)Kutholakala kuphela kumklamo wesibampyenzelwe i-Intel Stratix 10 kanye namadivayisi we-Intel Agilex 7 E-tile.

Ithebula 8. eCPRI Intel FPGA IP Hardware Design Example Bhalisa imephu

I-Word Offset  Uhlobo lokubhalisa  Inani elizenzakalelayo  Uhlobo Lokufinyelela
0x0 Qala Ukuthumela Idatha:
• Ibhithi 1: I-PTP, uhlobo olungezona lwe-PTP
• Ibhithi 0: uhlobo lwe-eCPRI
0x0 RW
0x1 Vumela Iphakethe Eliqhubekayo 0x0 RW
0x2 Sula Iphutha 0x0 RW
(0) Ukushintsha Kwesilinganiso:
• Ibhithi [7]- Ibonisa ithayela:
— 1'b0: H-tile
— 1'b1: I-E-tile
• I-Bit [6:4]- Ibonisa ukushintsha kwezinga ledatha ye-Ethernet:
— 3'b000: 25G kuya ku-10G
— 3'b001: 10G kuya ku-25G
• Ibhithi [0]- Nika amandla isilinganiso sokushintsha. Kudingeka ukusetha le bit 0 kanye ne-poll kuze kucace u-bit 0 ekushintsheni kwezinga.
Qaphela: Le rejista ayitholakali kumadizayini we-Intel Agilex 7 F-tile kanye ne-Intel Arria 10.
• I-E-tile: 0x80
• H-tile: 0x0
RW
(0) Ukushintsha Kwesilinganiso Kwenziwe:
• I-Bit [1] ibonisa ukushintshwa kwesilinganiso okwenziwe.
0x0 RO
0x5 (4) Isimo Sokucushwa Kwesistimu:
• Ibhithi [31]: Isistimu isilungile
• Ibhithi [30]: IWF_EN
• Ibhithi [29]: STARTUP_SEQ_EN
• I-Bit [28:4]: Igciniwe
• Ibhithi [3]: EXT_PACKET_EN
• I-Bit [2:0]: Igciniwe
0x0 RO
0x6 (4) Ingxoxo ye-CPRI Iqediwe:
• Ibhithi [3:0]: Isilinganiso sikabhithi siphelile
• I-Bit [19:16]: Iphrothokholi iphelile
0x0 RW
0x7 (4) Ingxoxo ye-CPRI Iqediwe:
• I-Bit [3:0]: I-C&M esheshayo iphelile
• I-Bit [19:16]: I-VSS esheshayo iqediwe
0x0 RW
0x8 – 0x1F Igodliwe.
0x20 Ukuphazamisa Iphutha le-eCPRI:
• I-Bit [0] ikhombisa ukuphazamiseka.
0x0 RO
0x21 Iphutha Lamaphakethe Angaphandle 0x0 RO
0x22 I-PTP Yangaphandle Amaphakethe TX Isiqalo Sephakethe (SOP) Ukubala 0x0 RO
0x23 I-PTP Yangaphandle Amaphakethe TX Ukuphela Kwephakethe (EOP) Ukubala 0x0 RO
0x24 I-Miscellaneous Packets Yangaphandle TX SOP Count 0x0 RO
0x25 Amaphakethe Okuxubile Angaphandle TX EOP Ukubala 0x0 RO
0x26 I-RX Yangaphandle Amaphakethe SOP Ukubala 0x0 RO
0x27 I-RX Yangaphandle Amaphakethe EOP Ukubala 0x0 RO
0x28 Ukubalwa Kwephutha Kwamaphakethe 0x0 RO
0x29 – 0x2C Igodliwe.
0x2D I-PTP Times yangaphandleamp Isibalo Sephutha lezigxivizo zeminwe 0x0 RO
0x2E I-PTP Times yangaphandleamp Iphutha lezigxivizo zeminwe 0x0 RO
0x2F Isimo Sephutha Le-Rx Yangaphandle 0x0 RO
0x30 – 0x47 Igodliwe.
0x48 Iphutha Lamaphakethe e-eCPRI RO
0x49 I-eCPRI TX SOP Ukubala RO
0x4A I-eCPRI TX EOP Ukubala RO
0x4B I-eCPRI RX SOP Ukubala RO
0x4c I-eCPRI RX EOP Ukubala RO
0x4D I-eCPRI Packets count RO

Ulwazi Oluhlobene

  • Ukulawula, Isimo, kanye Nezincazelo Zerejista Yezibalo
    Bhalisa ulwazi lwe-25G Ethernet Stratix 10 FPGA IP
  • Ukumisa Kabusha kanye Nerejista Yesimo
    Izincazelo Bhalisa imininingwane ye-E-tile Hard IP ye-Ethernet
  • Amarejista
    Bhalisa imininingwane ye-eCPRI Intel FPGA IP

eCPRI Intel FPGA IP Design Example Izingobo zomlando zomhlahlandlela womsebenzisi

Ukuze uthole izinguqulo zakamuva nezidlule zalo mhlahlandlela womsebenzisi, bheka i-eCPRI Intel FPGA IP Design Example Umhlahlandlela Womsebenzisi inguqulo ye-HTML. Khetha inguqulo bese uchofoza Landa. Uma i-IP noma inguqulo yesofthiwe ingekho ohlwini, inkomba yomsebenzisi ye-IP yangaphambilini noma inguqulo yesofthiwe iyasebenza.

Umlando Wokubuyekezwa Kombhalo we-eCPRI Intel FPGA IP Design Example Umhlahlandlela Womsebenzisi

Inguqulo Yedokhumenti Intel Quartus
Prime Version
Inguqulo ye-IP Izinguquko
2023.05.19 23.1 2.0.3 • Kubuyekezwe Ukulingisa I-Design Example ngxenye ye-Testbench kumhlahlandlela Wokuqalisa Okusheshayo.
• Kubuyekeze igama lomndeni lomkhiqizo laba yi-“Intel Agilex 7”.
2022.11.15 22.3 2.0.1 Imiyalo ebuyekeziwe yesifanisi se-VCS esigabeni: Ukulingisa I-Design Example Testbench.
2022.07.01 22.1 1.4.1 • Kwengezwe i-hardware design exampisekela ukuhlukahluka kwedivayisi ye-Intel Agilex 7 F-tile.
• Ukwesekwa okwengeziwe kwamathuluzi okuthuthukisa alandelayo:
- Intel Agilex 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
• Ukwesekwa okwengeziwe kwe-QuestaSim simulator.
• Usekelo olususiwe lwe-ModelSim* SE simulator.
2021.10.01 21.2 1.3.1 • Usekelo olungeziwe lwamadivayisi we-Intel Agilex 7 F-tile.
• Ukwesekwa okwengeziwe kwemiklamo eneziteshi eziningi.
• Ithebula Elibuyekeziwe: I-eCPRI Intel FPGA IP Hardware Design Example Bhalisa imephu.
• Ukwesekwa okususiwe kwe-NCSim simulator.
2021.02.26 20.4 1.3.0 • Usekelo olungeziwe lwamadivayisi e-Intel Agilex 7 E-tile.
2021.01.08 20.3 1.2.0 • Kushintshwe isihloko sedokhumenti ukusuka ku-eCPRI Intel Stratix 10 FPGA IP Design Example Umhlahlandlela Womsebenzisi ku
eCPRI Intel FPGA IP Design Example Umhlahlandlela Womsebenzisi.
• Usekelo olungeziwe lwemiklamo ye-Intel Arria 10.
• I-eCPRI IP design exampi-le isiyatholakala ngosekelo lwesici se-interworking function (IWF).
• Kwengezwe inothi ukuze kucace ukuthi i-eCPRI design exampI-le enesici se-IWF itholakala kuphela ku-9.8 Gbps CPRI
isilinganiso sebhithi yomugqa.
• Izimo ezingeziwe esigabeni Ukukhiqiza Idizayini lapho kukhiqizwa i-ex yedizayiniample nge
Ipharamitha yokusekela ye-Interworking Function (IWF) inikwe amandla.
• Kwengezwe u-sampi-le simulation test run okukhiphayo ngesici se-IWF esinikwe amandla esigabeni Sokulingisa Umklamo
Example Testbench.
• Kwengezwe isigaba esisha Ukunika amandla Ukucushwa kabusha kwe-Dynamic ku-Ethernet IP.
• Ukuhlolwa kwehadiwe okubuyekeziwe sample okukhiphayo esigabeni
Ihlola i-eCPRI Intel FPGA IP Design Example.
2020.06.15 20.1 1.1.0 • Usekelo olungeziwe lwezinga ledatha ye-10G.
• ukugeleza.c file isiyatholakala ne-design example generation ukukhetha imodi ye-loopback.
• Kushintshwe u-sampokukhiphayo kokuhlolwa kokulingisa kuqaliswa esigabeni Ukulingisa i-Design Example Testbench.
• Kwengezwe ivelu yefrikhwensi yokusebenzisa idizayini yezinga ledatha engu-10G esigabeni Ukuhlanganisa kanye Nokulungiselela i
I-Design Exampku-Hardware.
• Kwenziwe izinguquko ezilandelayo esigabeni Ukuhlola i-eCPRI Intel FPGA IP Design Example:
- Kwengezwe imiyalo yokushintsha isilinganiso sedatha phakathi kwe-10G ne-25G
— Kwengezwe u-sample okukhiphayo ekushintsheni kwesilinganiso sedatha
- Kwengezwe imininingwane eguquguqukayo ye-TEST_MODE ukuze ukhethe i-loopback ezinhlobonhlobo zedivayisi ye-E-tile.
• I-eCPRI Intel FPGA IP Hardware Design Examples High Level Block Diagram ukufaka okusha
amabhlogo.
• Ithebula Elibuyekeziwe: I-Design Example Interface Signals ukufaka isignali entsha.
• Idizayini Ebuyekeziwe Example Bhalisa Imephu ingxenye.
• Kwengezwe isigaba esisha se-appendix:Ukukhiqiza Nokudawuniloda Ifomethi Esebenzisekayo Nexhumanisayo (.elf) Ukuhlela File .
2020.04.13 19.4 1.1.0 Ukukhishwa kokuqala.

A. Ikhiqiza futhi Ilanda Ifomethi Esebenzisekayo Nexhumanisayo (.elf) Programming File

Lesi sigaba sichaza indlela yokwenza nokulanda i-.elf file ebhodini:

  1. Shintsha uhla lwemibhalo lubeample_dir>/synthesis/quatus.
  2. Kuhlelo lwe-Intel Quartus Prime Pro Edition, chofoza okuthi Vula Iphrojekthi bese uvulaample_dir>/synthesis/quartus/epri_ed.qpf. Manje khetha Amathuluzi ➤ Isoftware Ye-Nios II Yakha Amathuluzi E-Eclipse.
    Umfanekiso 10. I-Nios II Software Build Tools for EclipseI-eCPRI Intel FPGA IP Design - Umfanekiso 10
  3. Kuvela umyalo wewindi Lokuqalisa Indawo Yokusebenza. Endaweni Yokusebenzela cacisa indlela ngokuthiample_dir>/synthesis/quatus ukuze ugcine iphrojekthi yakho ye-Eclipse. Iwindi elisha le-Nios II - Eclipse liyavela.
    Umfanekiso 11. Iwindi Lokuqalisa Indawo YokusebenzelaI-eCPRI Intel FPGA IP Design - Umfanekiso 11
  4. Efasiteleni le-Nios II - Eclipse, chofoza ngokucindezelayo ngaphansi kwethebhu ye-Project Explorer, bese ukhetha Iphakheji Elisha Lokusekela Ibhodi Le-Nios II. Iwindi elisha liyavela.
    Umfanekiso 12. Ithebhu Yokuhlola IphrojekthiI-eCPRI Intel FPGA IP Design - Umfanekiso 12
  5. Efasiteleni lePhakheji Yokusekela Ibhodi Le-Nios II:
    • Kupharamitha yegama lephrojekthi, cacisa igama lephrojekthi oyithandayo.
    • Kulwazi lwe-SOPC File igama ipharamitha, phequlula endaweni yeample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Chofoza Qedela.
    Umfanekiso 13. Iwindi Lephakheji Yokusekela Ibhodi Le-Nios III-eCPRI Intel FPGA IP Design - Umfanekiso 13
  6. Iphrojekthi esanda kwakhiwa ivela ngaphansi kwethebhu ye-Project Explorer kuwindi le-Nios II Eclipse. Chofoza kwesokudla ngaphansi kwethebhu ye-Project Explorer, bese ukhetha i-Nios II ➤ I-Nios II Command Shell.
    Umfanekiso 14. Project Explorer- Nios II Command ShellI-eCPRI Intel FPGA IP Design - Umfanekiso 14
  7. Ku-Nios II Command Shell, thayipha imiyalo emithathu elandelayo: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make -directory=app
  8. I-.elf file ikhiqizwa endaweni elandelayo:ample_dir>/ synthesis/ip_components/software/ /uhlelo lokusebenza.
  9. Thayipha umyalo olandelayo ku-Nios II Command Shell ukuze udawunilode i-.elf ebhodini:
    • Nge-Intel Stratix 10: nios2-landa -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Nge-Intel Agilex 7: nios2-landa -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

Ilogo ye-IntelI-eCPRI Intel FPGA IP Design - isithonjana I-Online Version
I-eCPRI Intel FPGA IP Design - icon 1 Thumela Impendulo
UG-20278
683837
2023.05.19
I-eCPRI Intel® FPGA IP Design Example Umhlahlandlela Womsebenzisi

Amadokhumenti / Izinsiza

Intel eCPRI Intel FPGA IP Design [pdf] Umhlahlandlela Womsebenzisi
eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design

Izithenjwa

Shiya amazwana

Ikheli lakho le-imeyili ngeke lishicilelwe. Izinkambu ezidingekayo zimakiwe *